35
The long and winding road to FinFETs: a bit of past, present and future Witek Maszara GLOBALFOUNDRIES NCCAVS Junction Technology Group Oct 14, 2014

The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

The long and winding road to FinFETs: a bit of past, present and future

Witek Maszara

GLOBALFOUNDRIES

NCCAVS Junction Technology Group

Oct 14, 2014

Page 2: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Outline

Introduction

FinFET technology challenges and trends

FinFET circuit layout challenges

Page 3: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Why do we need FinFETs today?

Density Scaling

Gate Pitch

Scaling

Contact Width

Scaling

Contact Resistance Increase (Active power has to

increase)

Gate Length Scaling

Ioff Increase (Stand-by

power increase)

FinFETs

0

50

100

150

200

250

300

Ph

ys

ica

l d

ime

ns

ion

s [

nm

]

Technology node [nm]

Gate pitch

Gate+2Spacers

22 32 45 65 90 14

CO

NTA

CT

CO

NTA

CT

SUBSTRATE

G

Gate pitch

Page 4: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

FinFET benefit

S.S.

Pstandby

Pactive

Id

Vg

Fin

source

drain

substrate

STI STI

Page 5: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Multigate architectures

Vertical Double-gate

I

Eg

Planar Gate-All-Around

Planar Double-gate

Eg

I

Trigate

Multi-bridge

Scalability and Performance

Inte

gra

tio

n C

om

ple

xit

y

G

I Eg

FinFET

Vertical GAA

G

Page 6: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Brief history of FinFETs

1987

First multi-

gate –

K.Hieda

1988 First Gate-all-

around

(vertical)–

H.Takato

1989 DELTA,

predecessor

of FinFET

(bulk)

D.Hisamoto

1990 Gate-all-

around

(horizontal) –

J.-P.Colinge

2003 Trigate (SOI) –

B.Doyle

2004 Multi-bridge

gate-all-

around – S.-

Y.Lee

1980 1990 2000 2010

Page 7: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Brief history of FinFET circuits

2002

First Circuit:

4-stage

inverter –

B.Rainey

2002 SRAM cell –

E.Nowak

1980 1990 2000 2010

2004 20Mb SRAM

array –

J.A.Choi

2003 Ring

Oscillator

(61stage) –

E.Nowak

2012 First CPU –

“Ivy Bridge”,

Intel Corp.

Page 8: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Technology design challenges

Fin Patterning

Fin Shape

Fin Dimensional Variability

Fin Doping

Stress for Fins

Fin Orientation

Fin Isolation

FinFET Parasitic Capacitance

FinFET Reliability

Alternative Fin Materials

Passive Elements in FinFET Technology

FinFET Performance

Page 9: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Fin patterning – needs and challeges

Design requirements:

– Dense, sub-optical fin pitch

– High aspect ratio (height to width >2)

Litho challenges:

– Fin pitch is below optical (193i) litho resolution

• If litho-etch-litho-etch approach considered - inherent overlay error between two fin patterns impacts down-stream processing;

– Fin width much smaller than narrowest litho line (Lgate)

– Line edge roughness (LER) of the process leads to substantial local fin width variability (LWR).

Page 10: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Fin patterning – solutions: SADP

Solution to overlay problem: spacer-defined self-aligned double patterning (SADP)

– Fin pitch down to ~40nm with 193i scanner.

– Narrower fin pitches would require SADP or litho method to be applied twice.

– Lower LER lower LWR, or fin width variation.

– EUV lithography may not be able to improve on the LER over optical (193i)

SUBSTRATE

SPACER MANDREL

HARD MASK

Litho pitch Fin pitch

Page 11: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Fin patterning – solutions: “Sea-of-fins”

Lithographic restrictions require regular patterns at 20nm node and below

– Unidirectional fins generally on one pitch

– Typical approach - “sea-of-fins”

– Unwanted fins and pieces of fins are subsequently removed by “fin-cut” masking steps.

– Removal of single fins challenging - pairs of such sacrificial fins may need to be designated for removal.

Fin cut 2 Fin cut 1 Fins

Page 12: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Fin shape

FinFETs with sloping sidewalls have benefits:

– Are more sturdy mechanically thus less vulnerable to damage during processing;

– Assure better fill of trenches between fins with fin isolation dielectric;

– Assure easier gate etch and spacer removal off fin sidewalls

FinFETs with sloping sidewalls have a significant drawback:

– Poor short channel control at the fin bottom

– Need to go to more rectangular shape

Source: Victor Moroz, unpublished

Source: C.Auth

et al.,

VLSI2012

Source: Intel

press release

Aug11, 2014

Old fin New fin

Page 13: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Fin dimension variability.

Fin height and pitch variation – major impact on drive current and AC performance at scaled dimensions

Unlike in planar devices all fin-based devices suffer from the same percentage of device width error.

C-Y. Kang et al., VLSI 2013

Page 14: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Device doping

FinFET generally requires much smaller channel doping than planar devices.

Source/drain doping challenging due to implant damage, dopant distribution

Alternatives:

– High temperature (300-400C) implants,

– Plasma-based doping

– Monolayer doping methods

– In-situ doped epitaxy

Source: M. Togo et al., VLSI 2013

Page 15: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Source-Drain engineering: silicide

Selective epitaxy in source/drain area shapes contact area for subsequent silicidation.

– Epitaxial growth just merging neighboring fin delivers more area for placement of silicide contact than fully merged fins with flat top surface.

Source: H. Kawasaki et al., IEDM 2009

Page 16: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Source-Drain engineering: stress

Selective epi of SiGe replacing Si fin in s/d area adds stress to PMOS FinFET channel.

Stress benefit saturates for fin recess ~20nm below STI surface

– 3D modeling

Fin

S/D Epi Fin Height=30nm

Fin width=14nm

Page 17: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Stress by SRB

Fins can be stressed in a similar fashion to that of planar devices

Stress by buried relaxed epi buffer layer (SRB) has been found by modeling more effective than source/drain stressors in scaled FinFETs

Source: G. Eneman et al., IEDM 2012

0

50

100

150

DR14

DR10

DR7

Mo

bilit

y i

nc

rea

se

(%

)

SR

B

CE

SL

TiN

W g

ate

W c

on

tac

t

TO

TA

LS/D

str

es

s

Ge PMOS Ge NMOS

Si substrate

SR

B

FIN

Page 18: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Fin orientation

– Hole mobility is sizably higher on (110) surface than on (100) but the difference decreases with increasing strain

– Electrons flow somewhat slower along (110) plane than on (100) in planar devices.

– In FinFETs, quantum confinement results in quite different behavior – electron mobility becomes comparable or better for (110) sidewall conduction than for (100)

C.D Young et al., VLSI 2011

Page 19: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Fin isolation – source-to-drain

Source-to-drain leakage.

– Junction-based isolation will likely be very challenging for FinFET devices with gate length Lgate~<15nm.

Alternative solutions:

– Dielectric layer below channel

– Semiconductor buffer layer below channel with appropriate band structure

Simulation results

Ioff=0.3nA/um

Page 20: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Fin isolation – device-to-device

Device-to-device leakage.

– S/D junction-to-substrate area is much smaller in FinFETs leakage to substrate is lower

– Required STI trench depth shallower by ~3x

PLANAR STI – INTEL 32nm FINFET STI – INTEL 22nm

~200nm

~60nm

Source: ChipWorks

Page 21: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

FinFET parasitic capacitance vs planar.

FinFET has inherently higher parasitic capacitance than planar device.

– Primarily of gate-to-fin capacitance between part of the gate above the fin and the top of the fin

Can be optimized down to about 5% above planar device’s.

This capacitance decreases with decreasing fin pitch and increasing fin height, per effective device width

Source: M. Guillorn et al., VLSI 2008

Page 22: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Reliability

NMOS TDDB and PBTI observed better in transition from planar 32nm to FinFET-based 22nm technology node (Intel).

PMOS TDDB and NBTI appears unchanged for FinFETs

Lower transverse field in FinFET is credited for improved reliability.

Source: S. Ramey, et al., IRPS (2013)

NMOS BTI NMOS TDDB PMOS TDDB

Page 23: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Alternative fin materials

Increasing power density in scaled technologies will require novel channel solutions for higher mobility.

– Today: Strained Si channel

– Tomorrow: Alternative channel material with higher mobility

Leading contenders:

– NMOS: III-V material is favored for NMOS, particularly InGaAs (SiGe or Ge possible)

– PMOS: Ge or SiGe with high Ge content

Key challenge – Integration of CMOS on Si substrate.

SUBSTRATE

SUBSTRATE

SUBSTRATE

SUBSTRATE

NMOS PMOS

Si SiGe

SiGe

SiGe

III-V

SiGe

III-V

III-V

Page 24: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Alternative fin materials – All III-V?

Can we use one high-mobility

material for both NMOS and

PMOS?

Antimonides, specifically InGaSb,

show very good electron mobility

and decent hole mobility.

Adequate band edge off-sets for

electrons and holes to lattice-

matched buffer material: AlGaSb,

for quantum well formation.

There would be:

One lattice-matching structure

One quantum confinement

structure

Same gate dielectric and

perhaps the same s/d contact

material

Source: A.Nainani

et al, IEDM 2010

Source: Z.Yuan et al.,

VLSI 2012

Page 25: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Alternative fin materials – Integration schemes

SUBSTRATE

BUFFER

DEVICE

SUBSTRATE

BUFFER

SUBSTRATE

BUFFER

Etched fin

SUBSTRATE

SUBSTRATE

SUBSTRATE

Grown fin

SUBSTRATE

SUBSTRATE

SUBSTRATE

Clad fin

Quantum well

by fin cladding

Source: IMEC, unpublished

Quantum well

by bulk fin

Etched

Source: M.Radosavljevic et al, IEDM 2009

Grown

Source: M.Heyns et al, IEDM 2011

Quantum well

by bulk fin

Page 26: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

DC Performance - NFET Benchmark

Best III-V channel planar NMOSFETs exceed Si FinFET in performance (Gmsat vs. Ssat at 0.5eV)

III-V FinFETs catching up

IMEC (2013) InGaAs planar (0.5V)

Ko, Nature2010 InAs-O-I Lee, VLSI 2013 InAs channel

22nm (0.8V)

Intel Si FinFET

IMEC (2014) InGaAs GAA NW (0.5V)

Intel 2009 InGaAs planar

Egard IEDM 2011 InGaAs planar

InAs planar (Chang, TSMC) IEDM 2013 (0.5V)

InAs planar (Lee, UCSB) VLSI 2014 (0.5V)

22nm (0.5V)

Sematech

IEDM

2013

InGaAs

finfet

Source: IMEC

Page 27: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

DC performance - PFET Benchmark

Source: A. Steegen, IMEC Tech Forum 2014

Best Ge channel FinFET NMOSFETs exceed Si FinFET in performance (Gmsat vs. Ssat at 0.5eV)

Page 28: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

AC performance – planar vs. fin

Comparison of AC performance using compact modeling

– Figure-of-merit involving various logic gate configurations

– As much as 40% gain can be realized in transition from planar to FinFET technology even with Vdd lowered from 0.9 to 0.8V

– Same baseline design rules (pitches)

Page 29: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Passive elements in FinFET technology

Challenges with incorporating diodes and passive elements in FinFET technology are rather minor.

Reference diodes and ESD can be realized either in:

– Long, gated fin diodes and long channel FinFETs

– Or in the Si bulk substrate.

Resistors can be done in:

– Thin films (gate, MOL or BEOL metals),

– Or in fins.

Decoupling capacitors can be realized in:

– Fins

– Or MIM capacitors.

Page 30: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Nanowires At very short gates FinFET body may have to be converted to that

of nanowire (aka Gate-All-Around) to control short channel leakage, and DIBL.

Wires cannot be large in diameter due to device pitch limits.

– To compete with FinFETs on per-foot-print current drivability, several (2-3) wires need to stacked.

Vertical wires may offer yet another density scaling option

Hik

MG

Single Si Nanowire Stacked Nanowires Vertical Nanowires

Source: Sematech Source: Ernst, IEDM2008 Source: Steegen, IMEC ITF 2014

Page 31: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Layout Design Methodology

One size of fins on chip (width, height)

Wide devices are realized with large number of fins – simple.

Narrow devices and particularly SRAM transistors:

– STI width between SRAM n and p devices may require customized fin pitches, different than those used in the logic cells in order to further minimize SRAM cell size.

Taller fins can deliver more effective device width per foot print (with height limits defined by process manufacturability) – potential area saving or performance boost.

Fin pitch selection related to:

– Process challenges

– Optimization of “gear-ratio” between fin pitch and metal 2 pitch in standard logic cell design.

Page 32: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

PG

PD PU

SRAM

SRAM design – tradeoff between stabile operation and cell size.

Densest SRAM cell design would use 1-1-1 approach:

– One-fin pull-up transistor (PU), one-fin pass-gate transistor (PG) and one-fin pull-down (PD).

– This configuration would provide the smallest SRAM cell size with lowest stand-by leakage.

– However, cell would likely require write assist and read assist circuitry.

Larger cells, such as 1-2-2 or 1-2-3 (PU-PG-PD)

– Would require less operation assistance, perhaps only for reading the cell.

Gates

Fins

1-1-1 1-1-2 1-2-2

Page 33: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

FinFET design ecosystem

Most of electronic design automation (EDA) tools need to be adapted for FinFET designs.

This process has been largely completed and tools are available from key vendors (Synopsis, Mentor Graphic and Cadence).

Leading semiconductor foundries are capable of providing full EDA support for their customers.

Tool/Function Tool status

Spice Simulation

RC Extraction

DRC

LVS/LPE

RTL Synthesis

Floorplan/Placement

Routing

Static Timing Analysis

DFT, IR drop, Signal Integrity

Page 34: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

Summary

High performance logic has adapted FinFET technoloy and will continue to use it for several generations into the future.

– SoC products will follow shortly after.

New materials for fins will likely be introduced into products in this decade.

Substantial changes are brought up into circuit-design world by FinFET.

Design ecosystem for FinFETs is available.

Page 35: The long and winding road to FinFETs: a bit of past, present and … · 2017. 6. 9. · FinFETs with sloping sidewalls have benefits: – Are more sturdy mechanically thus less vulnerable

ACKNOWLEDGEMENTS

Authors are thankful to M. Rashed, J. Cho, A. Jacob, G. Srinivasan, H. Levinson, J. Kye, S. Davar, F. Geelhaar, K. Akarvardar and Z. Krivokapic for helpful discussions.