27
UNMS M FLIP FLOP, REGISTROS Y TRANSFERENCIA DE DATOS

INFORME PREVIO N°7

Embed Size (px)

Citation preview

UNMSM

FLIP FLOP, REGISTROS Y TRANSFERENCIA DE

DATOS

Alumno :

Código : Profesor : Ing. Curso : Circuitos Digitales ISemestre : 201

CIRCUITOS DIGITALES I - FIEE - UNMSM

INFORME PREVIO N°71. Indique la diferencia entre los latch y los Flip-Flop. ¿Cuáles

son las formas de disparo? ¿Que son circuitos con entradas síncronas o asíncronas?

Antes de responder a las preguntas hagamos un breve concepto de circuitos combinacionales y secuenciales, para luego hablar de cada uno.

CIRCUITO COMBINACIONAL

Los circuitos combinacionales no tienen realimentación y no disponen de elementos para almacenar información.

En cualquier momento dado, el valor actual de las salidas está determinado exclusivamente por el valor actual de las entradas, (las variables de salida del sistema no dependen del tiempo)

No pueden reconocer una secuencia de combinaciones, ya que no poseen una manera de almacenar información pasada, es decir no poseen memoria.

La información a la salida de las puertas de desvirtúa necesariamente al eliminar las excitaciones de entrada.

CIRCUITO SECUENCIAL

Los circuitos secuenciales si tienen realimentación y si disponen de elementos para almacenar información.

El valor actual de las salidas depende de las entradas, salidas y estados intermedios.

El circuito secuencial debe ser capaz de mantener su estado durante algún tiempo, para ello se hace necesario el uso de dispositivos de memoria.

El circuito secuencial consta de un lazo de retroalimentación, que toma información de algún punto del circuito.

La realimentación entre las salidas y las entradas garantiza la permanencia de la información almacenada (memorizada) en todo momento del funcionamiento electrónico normal (tensión y corrientes de almacenamiento adecuadas).

Los circuitos secuenciales se clasifican de acuerdo a la manera como manejan el tiempo:

Hubert Stalin Vásquez Cueva Página 2

CIRCUITOS DIGITALES I - FIEE - UNMSM

Circuitos secuenciales síncronos Circuitos secuenciales asíncronos.

Circuitos Secuenciales Síncronos

Las señales son válidas solo en tiempos discretos.

Permiten un cambio de estado en los instantes marcados por una señal de sincronismo de tipo oscilatorio denominada reloj (CLK).

La señal de reloj es una serie de pulsaciones rectangulares o cuadradas.

Circuitos Secuenciales Asíncronos

Los cambios de estado ocurren al ritmo natural marcado por los retardos asociados a las compuertas lógicas utilizadas en su implementación.

Un biestable es asíncrono si su cambio de estado depende exclusivamente del estado de sus entradas.

La Lógica secuencial requiere de elementos de memoria (biestable: dos estados estables) para “almacenar estados”

Estos elementos se dividen en:

Biestables disparados por nivel (LATCH) Biestables disparados por flanco (FLIP-FLOPS)

La diferencia entre ellos es que los Latch están diseñados para trabajar con niveles (estados) y los Flip-Flop para trabajar con flancos (cambios de estados).

Diferencia Entre Los Tipos De Flip-Flop Y Latch

Hubert Stalin Vásquez Cueva Página 3

CIRCUITOS DIGITALES I - FIEE - UNMSM

Un latch es un elemento de memoria cuyas señales de entrada de excitación controlan el estado del dispositivo.

Un Flip-Flop difiere de un latch por el hecho de que tiene una señal de control llamada reloj. La señal de reloj emite una instrucción al Flip-Flop permitiéndole cambiar de estado de acuerdo con las señales de entrada de excitación. En los latches y los Flip-Flop, el siguiente estado queda determinado por las entradas de excitación.

Un latch cambia de estado de inmediato, según sus señales de excitación  de entrada, mientras que un Flip-Flop espera la señal de su reloj antes de cambiar de estado.

A continuación presentamos los diferentes tipos de latch y Flip-Flop.

LATCH

LATCH RS

El más simple latch lógico es el SR, donde R y S permanecen en estado “reset” y “set”. El latch es construido mediante la interconexión retroalimentada de puertas lógicas NOR, o bien de puertas lógicas NAND.

El funcionamiento del latch es el siguiente:

La entrada R activa (1) realiza un RESET del latch (pone la salida a 0). La entrada S activa (1) realiza un SET del latch (pone la salida a 1). Si las entradas están desactivadas (R=0 y S=0) la salida del latch no cambia Si se activan las dos entradas (R=1 y S=1) el circuito no funciona

correctamente

Fig. 1 Símbolo y diagrama lógico del latch R-S

Entradas de excitación

Estado actual

Estado siguiente

S R Q Q Q*

Hubert Stalin Vásquez Cueva Página 4

CIRCUITOS DIGITALES I - FIEE - UNMSM

0 0 Ningún cambio

0 1 0 1 0  Reset

1 0 1 0 1  Set

1 1 No permitido

LATCH RS CON ENTRADA DE HABILITACIÓN

El diagrama lógico de un latch con entrada de habilitación se muestra en la Figura 2. Las entradas S y R controlan el estado al que va a cambiar el latch cuando se aplica un ‘1’ en la entrada de habitación (E, enable). El latch no cambiará de estado hasta que la entrada E esté a nivel alto. Esta tercera entrada (E) permite habilitar o inhibir las acciones del resto de entradas.

Fig. 2. Diagrama y tabla característica del latch R-S

LATCH D CON ENTRADA DE HABILITACIÓN

Existe otro tipo de latch con entrada de habilitación que se denomina latch D. Se diferencia del latch RS en que sólo tiene una entrada (D), además de la de habilitación (E).

Cuando la habilitación (E) está activa la salida Q toma el valor de la entrada D, y cuando está desactiva, la salida permanece en su estado anterior. Este dispositivo también es conocido como báscula D transparente y se emplea para almacenar un bit de información.

Hubert Stalin Vásquez Cueva Página 5

CIRCUITOS DIGITALES I - FIEE - UNMSM

Fig. 3. Diagrama y tabla característica del latch D

En general, los circuitos latch se utilizan principalmente en situaciones en las que los datos se capturan desde líneas de señal y se guardan. El latch SR simple captura pulsos aleatorios en sus entradas S y R, pues cada pulso establece o restablece el estado del latch. Los latches SR y D con compuertas cambian de estado solo durante los instantes en que está habilitado el latch. Por tanto los latches se utilizan para capturar datos que llegan y se estabilizan antes del final de un pulso de activación.

FLIP-FLOP

En la siguiente pregunta hablaremos de los Flip-Flop.

2. Presentar la tabla de verdad de cada uno de los Flip-Flop: RS, D, JK, TEl Flip-Flop es un circuito lógico biestable, es decir posee dos estados estables, denominados SET (1 o activación) y RESET (0 o desactivación).  Los Flip-Flop se implementan con puertas lógicas y son los bloques básicos de construcción de contadores, registros y otros circuitos de control secuencial. También se emplean en ciertos tipos de memorias.

FLIP-FLOP MAESTRO-ESCLAVO

Un Flip-Flop maestro-esclavo se construye con dos Flip-Flop, uno sirve de maestro y otro de esclavo. Durante la subida del pulso de reloj se habilita el maestro y se deshabilita el esclavo. La información de entrada es transmitida hacia el FF maestro.  Cuando el pulso baja nuevamente a cero se deshabilita el maestro lo cual evita que lo afecten las entradas externas y se habilita el esclavo. Entonces el esclavo pasa al mismo estado del maestro. El comportamiento del Flip-Flop maestro-esclavo hace que los cambios de estado coincidan con la transición del flanco negativo del pulso.

Hubert Stalin Vásquez Cueva Página 6

CIRCUITOS DIGITALES I - FIEE - UNMSM

A continuación la tabla de verdad de este tipo de Flip-Flop.

El esquema de conexión de Flip-Flop maestro-esclavo:

FLIP-FLOP DISPARADO POR FLANCO

Otro tipo de FF que sincroniza el cambio de estado durante la transición del pulso de reloj es el Flip-Flop disparado por flanco. Cuando la entrada de reloj excede un nivel de umbral específico, las entradas son aseguradas y el FF no se ve afectado por cambios adicionales en las entradas hasta tanto el pulso de reloj no llegue a cero y se presente otro pulso.

Algunos FF cambian de estado en la subida del pulso de reloj, y otros en el flanco de bajada. Los primeros se denominaran Flip-Flop disparados por flanco positivo y los segundos Flip-Flop disparados por flanco negativo. La distinción entre unos y otros se indicará con la presencia o ausencia de una negación en la entrada de reloj como se muestra en la figura 5.

Hubert Stalin Vásquez Cueva Página 7

CIRCUITOS DIGITALES I - FIEE - UNMSM

Fig. 5. Flip-Flop disparado por flanco

FLIP-FLOP TIPO R-S

La operación del FF S-R disparado por flanco es similar a la operación analizada anteriormente, el cambio de estado se efectúa en el flanco ascendente del pulso de reloj. El estado S=R=1 sigue siendo un estado prohibido.

La tabla resume el comportamiento del FF tipo S-R disparado por flanco positivo.

Fig. 6. Tabla característica y diagrama de tiempos del Flip-Flop S-R

FLIP-FLOP TIPO JK

La operación de un Flip-Flop tipo JK es muy similar a la de un Flip-Flop SR. La entrada J es la equivalente a la entrada S de un Flip-Flop RS y la entrada K, al equivalente a la entrada R. La única diferencia es que no tiene un estado inválido. Para la condición J=K=1 el Flip-Flop complementa el estado presente.

Hubert Stalin Vásquez Cueva Página 8

CIRCUITOS DIGITALES I - FIEE - UNMSM

La tabla característica de la tabla 7 resume el comportamiento del FF tipo J K disparado por flanco positivo.

Fig. 7. Tabla característica del FF JK

FLIP-FLOP TIPO D

Su comportamiento es similar al del latch D descrito con anterioridad, la salida del Flip-Flop tipo D se igualará a la entrada en el instante en el que se produzca el flanco ascendente o descendente de la señal de reloj (CLK).

Fig. 8. Tabla característica del FF tipo D

Hubert Stalin Vásquez Cueva Página 9

CIRCUITOS DIGITALES I - FIEE - UNMSM

FLIP-FLOP TIPO T

Solo posee una entrada además de la del reloj. Se le denomina “trigger o toggle, disparador o alternancia". La función de este dispositivo consiste en cambiar (alternar) su estado con cada transición en sentido negativo de su señal de entrada de excitación.  Si hay un 0 en la entrada T, cuando se aplica el pulso de reloj la salida mantiene el valor del estado presente. Si hay un 1 se complementa.

Fig. 9. Tabla característica del FF tipo T

Los Flip-Flop se utilizan principalmente para diseños de circuitos secuenciales en donde todos los cambios de estado deben sincronizarse con las transiciones de una señal de reloj. La mayor parte de estos circuitos utilizan Flip-Flop JK o D, según cuál de ellos necesite el menor número de compuertas para obtener las entradas de excitación para el diseño dado. Los Flip-Flop SR se utilizan poco, ya que los Flip-Flop JK proporcionan los mismos modos de operación y añaden el modo de alternancia, lo que elimina el problema de evitar la condición S = R = 1. Los Flip-Flop T se utilizan principalmente en el diseño de contadores.

3. ¿Qué es un registro? Explicar la característica de funcionamiento de los registros:(a) De almacenamiento.(b) De desplazamiento (tipos)(c) universal.

Un registro es un grupo de celdas de almacenamiento binario adecuadas para mantener información binaria. Un grupo de Flip-Flop constituye un registro, ya que cada Flip-Flop es una celda binaria capaz de almacenar un bit de información. Un

Hubert Stalin Vásquez Cueva Página 10

CIRCUITOS DIGITALES I - FIEE - UNMSM

registro de n-bit tiene un grupo de n Flip-Flop y es capaz de almacenar cualquier información binaria que contenga n bits. Además de los Flip-Flop, un registro puede tener compuertas combinacionales que realicen ciertas tareas de procesamiento de datos. En su definición más amplia, un registro consta de un grupo de Flip-Flop y compuertas que efectúan una transición. Los Flip-Flop mantienen la información binaria y las compuertas controlan cuando y como se transfiere información nueva al registro

a) REGISTROS DE ALMACENAMIENTOLos registros de almacenamiento están formados por un conjunto de biestables (normalmente tipo D) aislados entre sí, con una señal de reloj común a todos ellos, de forma que en todos se cargan simultáneamente los datos presentes en sus entradas, siendo accesibles en cada momento sus entradas y salidas. Si los registros de almacenamiento se activan por nivel, también reciben el nombre de latch (cerrojo).Las formas en que se hace llegar la información al registro, y de extraerla posteriormente del mismo, dan lugar a distintos tipos de registros. Los datos pueden ser transferidos al registro en forma serie o paralelo. De la misma manera, podemos transferir la información de un registro al exterior. En el formato serie se dispondrá de una sola línea y los bits irán apareciendo uno tras otro, normalmente sincronizados con una señal de reloj. En el segundo caso habrá tantos conductores como bits tenga la señal binaria a registrar (bus de conductores).

b) REGISTROS DE DESPLAZAMIENTOLos registros de desplazamiento son circuitos secuenciales sencillos, compuestos por un conjunto de biestables que se conectan en serie, y una circuitería adicional que controla los modos de cargar y acceder a los datos que almacenan. Su principal función, en el seno de sistemas más complejos, es servir de almacenamiento temporal de un conjunto de bits sobre los que se está realizando una tarea de procesamiento.Existen cuatro combinaciones básicas que definen el tipo de registro de desplazamiento:

1. Entrada serie / Salida serie2. Entrada serie / Salida paralelo (ej: 74LS164)3. Entrada paralelo / Salida serie (ej: 74LS165)4. Entrada paralelo / Salida paralelo

Hay circuitos integrados específicos de cada uno de esos tipos, y otros que mediante unas señales de control pueden cambiar su funcionamiento de un modo a otro (Ej: 74LS195) o realizar los desplazamientos hacia la derecha o hacia la izquierda (Ej: 74LS194).

Hubert Stalin Vásquez Cueva Página 11

CIRCUITOS DIGITALES I - FIEE - UNMSM

Las dos operaciones básicas que realiza un registro de desplazamiento son la carga/almacenamiento de los datos y el desplazamiento de estos a lo largo de los biestables que lo componen; la obtención de los datos correctos a la salida del dispositivo depende de una cuidadosa sincronización de las señales de control, de entrada y de salida.REGISTRO DE DESPLAZAMIENTO CON ENTRADA SERIE / SALIDA PARALELO

Caracterizaremos el funcionamiento del integrado 74LS164 que es un registro de desplazamiento de 8 bits (ver Figura 1), con entrada serie y salida paralelo (síncronas) y una entrada CLR de “borrado” asíncrona, activa para nivel BAJO. Tiene dos entradas serie, A y B, que acceden a los biestables tras efectuarse una operación NAND sobre ellas, por lo tanto, o bien entra la misma señal por ambas, o bien una de ellas se mantiene siempre en ALTO para permitir la entrada de datos (lo que nos proporciona una herramientaAdicional de sincronización). Se puede comprobar el esquema de la circuitería interna en la hoja de datos del 74LS164.

Figura 1: Registro de desplazamiento serie/paralelo

Para poder caracterizar el circuito, debemos “cargar” un byte de información, es decir ocho bits, que introduciremos en serie en el dispositivo. La señal de reloj CLK marca el tiempo que se adjudica a cada bit en la serie (un ciclo de reloj), por lo tanto, ocho ciclos de reloj son el tiempo necesario para cargar el byte completo y que la salida en paralelo sea la correcta.

REGISTRO DE DESPLAZAMIENTO CON ENTRADA PARALELO / SALIDA SERIE

Caracterizaremos el funcionamiento del integrado 74LS165 que es un registro de desplazamiento de 8 bits, con entrada paralelo (asíncrona) y salida serie. También admite opcionalmente una entrada serie (SER). La señal de control SH/LD en BAJA permite la carga de los datos en paralelo, y en ALTA permite el desplazamiento a lo largo de los biestables de los datos cargados, que terminan saliendo por Q y su complemento Q. Tiene la opción

Hubert Stalin Vásquez Cueva Página 12

CIRCUITOS DIGITALES I - FIEE - UNMSM

adicional de inhabilitar el reloj mediante la señal CLKINH, ya que sobre ella y CLK se implementa la función NOR, de forma que cuando CLKINH está en ALTA, el reloj queda inhabilitado:

Hubert Stalin Vásquez Cueva Página 13

CIRCUITOS DIGITALES I - FIEE - UNMSM

Hubert Stalin Vásquez Cueva Página 14

CIRCUITOS DIGITALES I - FIEE - UNMSM

c) REGISTRO UNIVERSALSe denomina universal porque aúna las capacidades de los anteriores circuitos integrados estudiados: tiene capacidad de entrada y salida tanto serie como paralelo. Se denomina bidireccional porque los desplazamientos pueden ser tanto de izquierda a derecha como de derecha a izquierda. Un registro de desplazamiento se puede utilizar como contador en anillo sin más que unir la salida a la entrada serie.El 74HC194 es un ejemplo de registro de desplazamiento bidireccional universal en formato integrado.

4. ¿Por qué se consideran los registros de desplazamiento dispositivos básicos de memoria? ¿Cuál es la capacidad de almacenamiento de un registro que puede contener 2 bytes de datos?Los registros de desplazamiento son considerados como dispositivos de memoria, debido a que el dato ingresado se va transmitiendo al siguiente elemento de memoria (Flip-Flop) y así sucesivamente hasta aparecer en la salida sin error. Es decir almacena el dato y lo muestra a su salida en el orden que se ingresaron.

La capacidad de almacenamiento de un registro es el número total de bits de un dato digital que puede contener. Cada etapa de un registro de desplazamiento representa un bit de su capacidad; por lo tanto el número de etapas de un registro determina su capacidad de almacenamiento. Por lo tanto en 2 bytes de datos son en total 16 bit de datos.

Hubert Stalin Vásquez Cueva Página 15

CIRCUITOS DIGITALES I - FIEE - UNMSM

5. Dibujar la forma de onda de salida Q, para cada uno de los Flip-Flop tipo D mostrados en la figura., a partir de las formas de onda de entrada mostradas: Observe que la señal de reloj es diferente en cada caso.

El Flip-Flop 1 carga los datos en flanco de subida; mientras que el segundo carga el dato en el flanco de subida, entonces se tiene el siguiente resultado:

Hubert Stalin Vásquez Cueva Página 16

CIRCUITOS DIGITALES I - FIEE - UNMSM

6. Explique la diferencia entre la puesta a cero mediante un reset y la carga de una entrada de todo ceros en un registro.

La puesta a cero en un registro significa que los datos almacenados son borrados es decir queda limpio y listo para recibir nuevos datos que son simbolizados por ceros.

La carga de una entrada de todos ceros significa que almacenará el bit 0 como dato en cada uno de los Flip-Flop.

7. Explicar el modo de funcionamiento del CI 74LS164. Explique el uso de las entradas serie A y B. Indique una aplicación de este registro de entrada serial y salida paralelo. Dibujar las formas de onda de cada una de las salidas Qa, Qb, …., Qh para las entradas mostradas:

A continuación mostramos el circuito interno de un registro de desplazamiento con entrada serie y salida en paralelo de 8 bits.

Como podemos notar se tienen dos señales de entrada ‘A’ y ‘B’ ambas están conectadas a una puerta NAND, y esta a su vez a las entradas R y S de los Flip-Flop. Entonces las entradas seriales que ingresemos quedan sometidas a la lógica NAND; por otro lado si solo necesitamos una entrada serial, o conectamos uno de las entradas a la fuente de datos, y la otra a Vcc; o por otro lado cortocircuitamos las entradas y se conecta la señal de dato a esta.

Hubert Stalin Vásquez Cueva Página 17

CIRCUITOS DIGITALES I - FIEE - UNMSM

La aplicación de este integrado es por ejemplo para el control de una matriz de LED´s de 8x8; con un micro controlador se emiten los datos en forma serial; las 8 salidas del registro se conectan a las 8 columnas de la matriz; el dato se ira desplazando mientras entre otro bit; así transmitimos los datos y una vez llenos los 8 bits activamos la fila 1mediante el micro controlador; después de eso se vuelven a enviar 8 datos seriales, los datos anteriores se pierden ya que quedan desplazados por los nuevos; activamos mediante el micro controlador la fila 2 y así se muestra nuevamente los datos. Se repite así esto hasta terminar las 8 filas de la matriz; luego para poder ver todo junto se hace la multiplicación a una frecuencia de 50 Hz (promedio) para poder apreciar todo el mensaje completo.

En la siguiente figura mostramos las formas de ondas en las 8 salidas del registro:

8. ¿Cuáles son los modos de operación del registro de desplazamiento Universal bidireccional 74LS194? Explicar las características de funcionamiento de este registro.

Como sabemos es un registro universal, es decir puede ser usado como entrada serie/paralelo y salida serie/paralelo; la función de trabajo de este integrado es

Hubert Stalin Vásquez Cueva Página 18

CIRCUITOS DIGITALES I - FIEE - UNMSM

asignado mediante los pines 9 y 10 (S0 y S1); los pines de entrada y salida son indicados en el datasheet dependiendo del modo de uso del registro.

A continuación presentaremos unos diagramas del modo de trabajo y selección de los modos de trabajo de este integrado.

Hubert Stalin Vásquez Cueva Página 19

CIRCUITOS DIGITALES I - FIEE - UNMSM

Hubert Stalin Vásquez Cueva Página 20

CIRCUITOS DIGITALES I - FIEE - UNMSM

9. Presente los archivos de simulación de los circuitos del cuestionario previo y experimentalLos archivos de simulación se adjuntan en un archivo ‘.rar’ indicando la simulación de circuitos previos y experimentales.

BIBLIOGRAFIA

Hubert Stalin Vásquez Cueva Página 21

CIRCUITOS DIGITALES I - FIEE - UNMSM

http://www.slideshare.net/otmolllllll/latches-y-flip-flops http://elchurcodel201.blogspot.com/2012/05/diferencias-entre-latches-y-flip-

flops.html http://mumoaldigitales1.blogspot.com/2010/11/diferencia-entre-los-tipos-de-

flip-flop.html http://tics-dd.blogspot.com/2012/04/diferencia-entre-un-latch-y-un-flip.html http://cursodigita.wikispaces.com/file/view/Latches+y+Flip-Flops.pdf http://www.mcgraw-hill.es/bcv/guide/capitulo/8448157001.pdf http://wsdetcp.upct.es/Personal/JZapata/labelec08.pdf http://www.ele-mariamoliner.dyndns.org/~fperal/lodi/6B-secuenciales.pdf

Hubert Stalin Vásquez Cueva Página 22