31
IDF PATENT TITLE COUNTRY/REG ION INVENTOR (DEPARTMENT scroll down to see department code) *inclusive of jointowned patents with nonCityU partners/inventors 032 Planar Printed CircuitBoard Transformers with Effective Electromagnetic Interference (EMI) Shielding USA HUI, Shu Yuen Ron(EE); TANG, Sai Chun(EE) 055 Apparatus for Energy Transfer by Induction United HUI, Shu Yuen Ron(EE) 064 Device for Correcting Spinal Deformities USA and others LU, Weijia William*; YEUNG, Wai Kwok Kelvin*; CHEUNG, Man Chee Kenneth*; CHUNG, Chi Yuen Jonathan(MSE) 074 Organic Electroluminescent Devices Formed with RareEarth Metal Containing Cathode USA CHAN, Mei Yee(AP); LAI, Shiu Lun Larry(AP); LEE, Chun Sing(CHEM); LEE, Shuit Tong* 089 Shape Memory Material and Method of Making the Same USA and others YEUNG, Wai Kwok Kelvin*; CHEUNG, Man Chee Kenneth*; LU, Weijia William*; CHUNG, Chi Yuen Jonathan(MSE) 094 System and Method of Translating a Relational Database into an XML Document and Vice Versa USA FONG, Shi Piu Joseph(CS) 095 Method for Fabricating Buried IonExchanged Waveguides Using FieldAssisted Annealing USA PUN, Yue Bun Edwin(EE); LIU, Ke(EE) 097 Structural Members with Improved Ductility USA WU, Yufei(BC) 100 Surface Treated Shape Memory Materials and Methods for Making Same USA and others CHU, Kim Ho Paul(PHY); LU, Weijia William*; POON, Wai Yip Ray(AP); CHEUNG, Man Chee Kenneth*; YEUNG, Wai Kwok Kelvin* 104 Rechargeable Battery Circuit and Structure for Compatibility with a Planar Inductive Charging Platform USA and others HUI, Shu Yuen Ron(EE) 109 Complementary Wideband Antenna USA WONG, Hang(EE); LUK, Kwai Man(EE) 110 Radiation Source USA XUE, Quan(EE); WAN, Kwun Chiu(RCW) 111 Electrical Devices and Methods of Charging USA WONG, Hon Yee(TTO) 123 Method of in vivo Screening for Cardiac Toxic Agents Using Teleost USA and others CHAN, Po Kwok Eric(EDGE); CHENG, Shuk Han(BMS) 124 Method for Screening Agent with Angiogenicmodulating Activities Using Teleost Embryo USA CHAN, Po Kwok Eric(EDGE); CHENG, Shuk Han(BMS) 130 Method and Apparatus for Calculating an SSD and Encoding a Video Signal USA PO, Lai Man(EE); GUO, Kai(EE) 136 Wideband Patch Antenna USA WONG, Hang(EE); XUE, Quan(EE); CHIN, Ching Hong Kevin(EE); ZHANG, Xiu Yin(EE) 137 Planar EMI Filter Comprising Coreless Spiral Planar Windings USA HUI, Shu Yuen Ron(EE); LIU, Xun(EE); LEE, Chi Kwan(CSCR) 138 Ultrahard Multilayer Coating Comprising Nanocrystalline Diamond and Nanocrystalline Cubic Boron Nitride USA LEE, Shuit Tong*; BELLO, Igor(AP); LI, He Qin(AP); ZHANG, Wenjun(MSE); MA, Kwok Leung(AP); LEUNG, Kar Man(AP); CHONG, Yat Ming(AP); ZOU, Yousheng(AP) 143 Transparent tubular honeycomb type photocatalysis reactor China NG, Szepark Park*; LEUNG, Yiu Cheong Dennis*; YAM, Wing Cheong*; VRIJMOED KWAN, Lee Ping Lilian(BCH); LEUNG, Kwok Hi Michael(E2) 145 AutoCalibration Method for a ProjectorCamera System USA ZHANG, Beiwei(MEEM); LI, You Fu(MNE)

IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

IDF PATENT TITLE COUNTRY/REGION

INVENTOR (DEPARTMENT ‐ scroll down to see department code) *inclusive of joint‐owned patents with non‐CityU partners/inventors

032 Planar Printed Circuit‐Board Transformers with Effective Electromagnetic Interference (EMI) Shielding

USA HUI, Shu Yuen Ron(EE); TANG, Sai Chun(EE)

055 Apparatus for Energy Transfer by Induction United  HUI, Shu Yuen Ron(EE)064 Device for Correcting Spinal Deformities USA and others LU, Weijia William*; YEUNG, Wai Kwok Kelvin*; CHEUNG, Man Chee 

Kenneth*; CHUNG, Chi Yuen Jonathan(MSE)074 Organic Electroluminescent Devices Formed with Rare‐Earth Metal 

Containing CathodeUSA CHAN, Mei Yee(AP); LAI, Shiu Lun Larry(AP); LEE, Chun Sing(CHEM); LEE, 

Shuit Tong*089 Shape Memory Material and Method of Making the Same USA and others YEUNG, Wai Kwok Kelvin*; CHEUNG, Man Chee Kenneth*; LU, Weijia 

William*; CHUNG, Chi Yuen Jonathan(MSE)094 System and Method of Translating a Relational Database into an XML 

Document and Vice VersaUSA FONG, Shi Piu Joseph(CS)

095 Method for Fabricating Buried Ion‐Exchanged Waveguides Using Field‐Assisted Annealing

USA PUN, Yue Bun Edwin(EE); LIU, Ke(EE)

097 Structural Members with Improved Ductility USA WU, Yufei(BC)100 Surface Treated Shape Memory Materials and Methods for Making Same USA and others CHU, Kim Ho Paul(PHY); LU, Weijia William*; POON, Wai Yip Ray(AP); 

CHEUNG, Man Chee Kenneth*; YEUNG, Wai Kwok Kelvin*104 Rechargeable Battery Circuit and Structure for Compatibility with a Planar 

Inductive Charging PlatformUSA and others HUI, Shu Yuen Ron(EE)

109 Complementary Wideband Antenna USA WONG, Hang(EE); LUK, Kwai Man(EE)110 Radiation Source USA XUE, Quan(EE); WAN, Kwun Chiu(RCW)111 Electrical Devices and Methods of Charging USA WONG, Hon Yee(TTO)123 Method of in vivo Screening for Cardiac Toxic Agents Using Teleost USA and others CHAN, Po Kwok Eric(EDGE); CHENG, Shuk Han(BMS)124 Method for Screening Agent with Angiogenic‐modulating Activities Using 

Teleost EmbryoUSA CHAN, Po Kwok Eric(EDGE); CHENG, Shuk Han(BMS)

130 Method and Apparatus for Calculating an SSD and Encoding a Video Signal USA PO, Lai Man(EE); GUO, Kai(EE)136 Wideband Patch Antenna USA WONG, Hang(EE); XUE, Quan(EE); CHIN, Ching Hong Kevin(EE); ZHANG, Xiu 

Yin(EE)137 Planar EMI Filter Comprising Coreless Spiral Planar Windings USA HUI, Shu Yuen Ron(EE); LIU, Xun(EE); LEE, Chi Kwan(CSCR)138 Ultrahard Multilayer Coating Comprising Nanocrystalline Diamond and 

Nanocrystalline Cubic Boron NitrideUSA LEE, Shuit Tong*; BELLO, Igor(AP); LI, He Qin(AP); ZHANG, Wenjun(MSE); 

MA, Kwok Leung(AP); LEUNG, Kar Man(AP); CHONG, Yat Ming(AP); ZOU, Yousheng(AP)

143 Transparent tubular honeycomb type photocatalysis reactor China NG, Sze‐park Park*; LEUNG, Yiu Cheong Dennis*; YAM, Wing Cheong*; VRIJMOED KWAN, Lee Ping Lilian(BCH); LEUNG, Kwok Hi Michael(E2)

145 Auto‐Calibration Method for a Projector‐Camera System USA ZHANG, Beiwei(MEEM); LI, You Fu(MNE)

Page 2: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

146 Auxiliary Winding for Improved Performance of a Planar Inductive Charging Platform

USA and others HUI, Shu Yuen Ron(EE); LIU, Xun(EE)

151 Bit Rate Estimation in Data or Video Compression USA PO, Lai Man(EE); SARWER, Mohammed Golam(EE)156 Method of Fabrication of Cubic Boron Nitride Conical Microstructures USA YE, Qing(AP); ZOU, Yousheng(AP); CHONG, Yat Ming(AP); ZHANG, 

Wenjun(MSE); BELLO, Igor(AP); LEE, Shuit Tong*157 Method of Making Diamond Nanopillars USA LEE, Shuit Tong*; BELLO, Igor(AP); ZOU, Yousheng(AP); ZHANG, 

Wenjun(MSE)159 Organic Electroluminescence Device USA WANG, Peng‐Fei(AP); XIE, Zhi‐Yuan(AP); LEE, Chun Sing(CHEM); LEE, Shuit 

Tong*160 Electronic Control Method for a Planar Inductive Battery Charging Apparatus USA and others HUI, Shu Yuen Ron(EE); CHUNG, Shu Hung Henry(EE); HO, Wing Choi(EE); 

LEE, Chi Kwan(CSCR)161 Localized Charging, Load Identification and Bi‐Directional Communication 

Methods for a Planar Inductive Battery Charging SystemUSA HUI, Shu Yuen Ron(EE); LIU, Xun(EE); HO, Wing Choi(EE); CHAN, Wing 

Cheong*164 Dielectric Loaded Elliptical Helix Antenna USA YUNG, Kai Ning Edward(EE); WONG, Hang(EE); CHE, Wenquan Cherry*; 

CHAN, Pak Wai(RCW)165 Unidirectional Antenna Comprising a Dipole and a Loop USA WONG, Hang(EE); CHAN, Pak Wai(RCW); YUNG, Kai Ning Edward(EE)170 Method for Encoding a Plurality of Video Signals into a Single Video Signal USA TSANG, Wai Ming Peter(EE)171 Motion Estimation Method USA NG, Ka Ho(EE); PO, Lai Man(EE)172 Method for Implementing Encryption and Transmission of Information and 

System ThereofUSA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS)

173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps

USA LEE, Leung Ming(EE); HUI, Shu Yuen Ron(EE)

177 Solvatochromic Functional Monomer and the Use Thereof for Chemosensing by Solvatochromic Molecular Imprinting

USA and others LAM, Hon Wah Michael(CHEM); GONG, Chengbin(BCH)

179 Suffix Tree Similarity Measure for Document Clustering USA CHIM, Hung(EE); DENG, Xiaotie(CS)180 Advancing a Committed Transaction Time USA WONG, Shek Duncan(CS); DENG, Xiaotie(CS); HU, Chunyan Bessie(CS); 

HUANG, Qiong(CS); YANG, Guomin(CS)183 Isolation Enhancement Technique for Dual‐Polarized Probe‐fed Patch 

AntennaUSA and others LAU, Ka Leung(EE); LUK, Kwai Man(EE)

184 Method of Making Foraminous Microstructures USA XU, Zhengtao(CHEM); LI, Yangyang(MSE); TSANG, Chun Kwan(AP)185 Method and Numerical Tool for Optimizing Light Emitting Diode Systems USA HUI, Shu Yuen Ron(EE)186 Apparatus and method for controlling the output phase of a VCO USA WAN, Kwun Chiu(RCW); XUE, Quan(EE)188 Method and Apparatus for Suppressing Noise Caused by Parasitic Capacitance 

and/or Resistance in an Electronic Circuit or SystemUSA YAN, Wai To(EE); CHUNG, Shu Hung Henry(EE)

189 Method and Apparatus to Provide Active Cancellation of the Effects of the Parasitic Elements in Capacitors

USA YAN, Wai To(EE); CHUNG, Shu Hung Henry(EE)

Page 3: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

192 Output Compensator for a Regulator USA YAN, Wai To(EE); CHUNG, Shu Hung Henry(EE)194 Apparatus and Methods of Operation of Passive LED Lighting Equipment USA HUI, Shu Yuen Ron(EE); CHEN, Wu*195 Antibacterial Surface and Method of Fabrication USA and others YEUNG, Wai Kwok Kelvin*; CHEUNG, Man Chee Kenneth*; LUK, Dip Kei 

Keith*; KAO, Yi Tsun Richard*; YEUNG, Che Yan*; CHU, Kim Ho Paul(PHY)

197 Method of Making a Porous TIO2 Photonic Film USA LI, Yangyang(MSE); XU, Zhengtao(CHEM); TSANG, Chun Kwan(AP); CHENG, Jianwen(AP)

198 Polymeric Based And Surface Treated Metallic Hybrid Materials And Fabrication Methods Thereof

USA and others CHU, Kim Ho Paul(PHY); YEUNG, Wai Kwok Kelvin*; CHEUNG, Man Chee Kenneth*; LUK, Dip Kei Keith*; WONG, Hoi Man Karen*; LAM, Kin On John*

199 Passive Lossless Snubber Cell for a Power Converter USA LI, Tin Ho(EE); CHUNG, Shu Hung Henry(EE)200 Energy‐Recycling Burn‐In Apparatus and Method of Burn‐In for Electronic 

BallastsUSA CHEN, Nan(EE); CHUNG, Shu Hung Henry(EE)

202 Method and Apparatus Using Carbon Nanotubes for Hydrogen Storage USA ZHANG, Ruiqin(PHY)203 Computer Implemented Method for Generating Binary Holograms USA CHEUNG, Wai Keung Kayton(EE); TSANG, Wai Ming Peter(EE)204 Light Transmissable Reasonators for Circuit and Antenna Applications USA and others LEUNG, Kwok Wa(EE); LIM, Eng Hock(EE)205 Passive LC Ballast and Method of Manufacturing a Passive LC Ballast USA HUI, Shu Yuen Ron(EE); LIN, Deyan(EE); NG, Wai Man(EE)209 Well Focused Catadioptric Image Acquisition USA LI, You Fu(MNE); LI, Weiming(MEEM)210 Digital Chip and Method of Operation Thereof USA CHENG, Lee Ming(EE)211 Audio Spatial Effect Enhancement USA TSANG, Wai Ming Peter(EE)212 Nitridoosmium(VI) Complexes for Treatment of Cancer USA LAM, Yun Wah(CHEM); LAU, Tai Chu(CHEM); MAN, Wai Lun(BCH); NI, Wen 

Xiu(BCH); CHE, Chi‐Ming*213 Power Quality Meter and Method of Waveform Analysis and Compression USA TSE, Chung Fai Norman(BST); LAU, Wing Hong Ricky(EE)216 An Apparatus or Circuit For Driving A DC Powered Lighting Equipment USA and others CHUNG, Shu Hung Henry(EE); CHEN, Nan(EE)217 Optical Sensing Devices And Methods for Detecting Samples Using The Same USA and others NG, Siu Pang(MSE); HO, Ho‐pui Aaron(EE); WU, Shu‐yuen(EE); WU, Chi Man 

Lawrence(MSE)218 Magnetic Memory Cell USA and others RUOTOLO, Antonio(AP)219 Solar Energy Collection Antennas USA LIM, Eng Hock(EE); LEUNG, Kwok Wa(EE)220 A Power Control Circuit And Method for Stabilizing A Power Supply USA and others WU, Fulih Felix*; LEE, Chi Kwan(CSCR); HUI, Shu Yuen Ron(EE)221 Self‐Driven AC‐DC Synchronous Rectifier for Power Applications USA HO, Wing Choi(EE); ZHONG, Wenxing(EE); HUI, Shu Yuen Ron(EE)222 Multiple View Display of Three‐Dimensional Images USA SITU, Wu Chao(EE); CHEUNG, Wai Keung Kayton(EE); TSANG, Wai Ming 

Peter(EE)224 Solar Energy Collection Antennas USA LEUNG, Kwok Wa(EE); LIM, Eng Hock(EE)225 Method for Generating Multi‐view Images from Single Image USA and others TSANG, Wai Ming Peter(EE)226 Current balancing circuit and method USA and others CHEN, Wu*; LI, Sinan(EE); ZHONG, Wenxing(EE); HUI, Shu Yuen Ron(EE)227 Driver for Two or More Parallel LED Light Strings USA and others HUI, Shu Yuen Ron(EE); CHEN, Wu*

Page 4: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

229 Fast Generation of Holograms USA CHEUNG, Wai Keung Kayton(EE); TSANG, Wai Ming Peter(EE)230 Secure Data in Removable Storage Devices via Encryption Token(s) USA WONG, Shek Duncan(CS)231 Apparatus and Methods of Operation of Passive and Active LED Lighting 

EquipmentEurope and others

HUI, Shu Yuen Ron(EE); CHEN, Wu*

232 Phthalocyanine/Polymer Nanocomposite Ink for Optoelectronics USA and others XU, Zong‐Xiang(AP); VELLAISAMY, A. L. Roy(MSE)233 Method for Creating And Displaying Two And Three Dimensional Images on 

Digital CanvasUSA and others TSANG, Wai Ming Peter(EE)

234 Wideband Active Quasi‐Circulator USA CHAN, Wing Shing(EE); MUNG, Wai Yin Steve(EE)235 Nitrogen Doped A2NB4O11, Process for Preparation Thereof, and Method for 

Degradation of Organic PollutantsUSA QIU, Yongfu(BCH); LAU, Tai Chu(CHEM)

236 Elliptically or Circularly Polarized Dielectric Block Antenna USA and others LEUNG, Kwok Wa(EE); LU, Kai(EE); PAN, Yongmei(EE)238 Generating an Aerial Display of Three‐Dimensional Images From a Single Two‐

Dimensional Image or a Sequence of Two‐Dimensional ImagesUSA TSANG, Wai Ming Peter(EE)

239 Flexible amine sensor based on ultrathin poly‐thiophene thin film transistor USA XU, Zong‐Xiang(AP); VELLAISAMY, A. L. Roy(MSE)

240 Multiple‐way Ring Cavity Power Combiner and Divider USA XUE, Quan(EE); SONG, Kaijun(EE)241 Automatic Switching of a Multi‐mode Display for Displaying Three‐

Dimensional and Two‐Dimensional ImagesUSA TSANG, Wai Ming Peter(EE)

242 Apparatus and Method for Wireless Power Transfer USA and others HUI, Shu Yuen Ron(EE); ZHONG, Wenxing(EE)243 Methods for Modeling and Analysis of Interface between Point Patterns USA YAN, Hong(EE); ZHOU, Weiqiang(EE)244 Driver Circuit for Powering DC Lamp in Non‐DC Lamp Fitting USA and others CHEN, Nan(EE); CHUNG, Shu Hung Henry(EE)245 Composite of Porous Substrate and One‐Dimensional Nanomaterial and 

Method for Preparing The Same, Surface‐Modified Composite and Method for Preparing The Same

USA and others CHAN, Chiu Yee(AP); TANG, Yongbing(AP); LEE, Shuit Tong*; LEE, Chun Sing(CHEM); FUNG, Man Keung Roy(AP)

247 Fluorescence PCR Method for Diagnosing Infection of Chlamydia Trachomatis, Neisseria Gonorrhoeae And Ureaplasma Urealyticum

China YANG, Mengsu Michael(BMS); TZANG, Chi Hung Lawrence(BCH); FU, Hua Yang(BMS)

250 DC Link Module and Method for Operating the Same USA and others WANG, Huai(EE); CHUNG, Shu Hung Henry(EE)251 Panoramic Stereo Catadioptric Imaging USA LI, Weiming(MEEM); LI, You Fu(MNE)252 Construction Structure and Method of Making Thereof USA WU, Yufei(BC); LU, Jian(MNE)253 Construction Structure and Method of Making Thereof USA LU, Jian(MNE); WU, Yufei(BC)254 Nickel Complexes for Flexible Transistors and Inverters USA VELLAISAMY, A. L. Roy(MSE); XU, Zong‐Xiang(AP); CHU, Kim Ho Paul(PHY); 

KWOK, Tat Kun Dixon(AP)258 Automatic Switching of a Multi‐Mode Projector Display Screen for Displaying 

Three‐Dimensional and Two‐Dimensional ImagesUSA and others TSANG, Wai Ming Peter(EE)

259 Compound Separation Method China ZHANG, Ruiqin(PHY); ZENG, Jun(AP)

Page 5: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

260 Optical Sensing Devices and Methods for Detecting Samples Using The Same USA WU, Chi Man Lawrence(MSE); HO, Ho‐pui Aaron(EE); WU, Shu‐yuen(EE); NG, Siu Pang(MSE)

261 Method and Apparatus for Delivery of Molecules to Cells USA CHEN, Xianfeng(AP); ZHANG, Wenjun(MSE)262 Rate Control for Content Transcoding USA XU, Long(CS); KWONG, Tak Wu Sam(CS)268 Method And Means for Identification of Animal Species USA and others CHENG, Shuk Han(BMS); LIN, Chun Chi(PH)269 Monolithic Magnetic Induction Device USA and others SIN, Kin On Johnny*; HUI, Shu Yuen Ron(EE); WU, Rongxiang*270 Aesthetic Dielectric Antenna And Method of Discretely Emitting Radiation 

Pattern Using SameUSA and others LIM, Eng Hock(EE); LEUNG, Kwok Wa(EE); FANG, XiaoSheng(EE)

271 System and Method for Emulating a Gas Discharge Lamp USA and others CHEN, Nan(EE); CHUNG, Shu Hung Henry(EE)272 Wireless Optical Charging And Power Supply USA and others TSANG, Wai Ming Peter(EE)274 Method, System and Medium for Character Conversion Between Different 

Regional Versions of a Language Especially Between Simplified Chinese and Traditional Chinese

USA ZHU, Chunshen(CAH); HAO, Tianyong(CTL)

275 Identification Tracking Method And Device Used in Conversion Between Simplified Chinese And Traditional Chinese

China ZHU, Chunshen(CAH); HAO, Tianyong(CTL)

276 Method And Device for Punctuation Mark Conversion China HAO, Tianyong(CTL); ZHU, Chunshen(CAH)277 Correction Processing Method And Correction Processing Device China ZHU, Chunshen(CAH); HAO, Tianyong(CTL)278 Methods And Systems for Generating Simplified And Traditional Chinese 

Conversion Template And Realizing Simplified And Traditional Chinese Conversion Based on Template

China HAO, Tianyong(CTL); ZHU, Chunshen(CAH)

279 Thermo‐Electric Generator Module USA and others WONG, Chung Kai Joseph(CA); LEUNG, Yee Tak Andrew(CA); VELLAISAMY, A. L. Roy(MSE)

280 System And Method for Estimating Component Parameters USA and others CHUNG, Shu Hung Henry(EE); CHEN, Nan(EE)282 View Synthesis Distortion Model for Multiview Depth Video Coding USA KWONG, Tak Wu Sam(CS); ZHANG, Yun(CS)283 Generating Full‐Parallax Digital Holograms USA and others TSANG, Wai Ming Peter(EE)284 Capturing, Processing, and Reconstructing Audio and Video Content of Mobile 

DevicesUSA TSANG, Wai Ming Peter(EE)

285 System And Method for Detecting Heat Emission Object USA and others ZHANG, Ruiqin(PHY); LAM, Tik Hang(AP)286 Flexing Arrangement USA and others MA, Lok Wang(AP); CHUNG, Chi Yuen Jonathan(MSE)287 Transmission Line And Methods for Fabricating Thereof USA and others CHIU, Leung(EE); XUE, Quan(EE)288 Device for routing light among a set of optical waveguides USA CHAN, Hau Ping Andy(EE); TSVETKOV, Valentine A(EE); HO, Wing Fat(EE)289 Metal Nano Particle Single Layer USA and others HAN, Su‐Ting(AP); ZHOU, Ye(AP); VELLAISAMY, A. L. Roy(MSE)290 Methods And Means for Manipulating Particles USA and others WANG, Xiaolin(MBE); SUN, Dong(BME)292 Mechanically Robust Fast‐dissolving Microneedles for Transdermal Drug And 

Vaccine DeliveryUSA and others CHEN, Xianfeng(AP); YAN, Li(AP)

Page 6: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

293 System And Method for Operating A Power Amplifier And A Load Modulation Network

USA and others XUE, Quan(EE); CHEN, Shichang(EE)

294 Phased Array, A coherent Source Array, An Antenna Array And A System for Controlling Thereof

USA and others XUE, Quan(EE); TANG, Chengcheng(EE)

295 Cu‐rich Nanocluster Reinforced Ultra‐high Strength Ferrite Steel And Manufacturing Method Thereof

China and others

LIU, Chain‐tsuan(EG); JIAO, Zengbao(MBE)

296 High Gain And Wideband Complementary Antenna USA and others SO, Kwok Kan(SKMW); XUE, Quan(EE); LUK, Kwai Man(EE); LAI, Hau Wah(SKMW); WONG, Hang(EE); CHAN, Chi Hou(EE)

298 Generating and Displaying Holograms USA TSANG, Wai Ming Peter(EE)302 A High Flow and Multi‐application Aerosol to Hydrosol Air Sampler and 

Sampling MethodChina NING, Zhi(E2)

304 Plasmonic Enhanced Tandem Dye Sensitized Solar Cell with Metallic Nanostructures

USA and others LEE, Chun Sing(CHEM); NG, Siu Pang(MSE); WU, Chi Man Lawrence(MSE)

305 Nanometer Intermetallic Compound‐reinforced Superhigh Strength Ferritic Steel And Manufacturing Method Thereof

China and others

LIU, Chain‐tsuan(EG); JIAO, Zengbao(MBE)

306 Fast processing of information represented in digital holograms USA TSANG, Wai Ming Peter(EE)308 Patch Antenna USA and others LIU, Juhua(SKMW); XUE, Quan(EE)309 Patch Antenna China XUE, Quan(EE); LIU, Juhua(SKMW)310 Scattering Screen System, Method of Manufacture And Application Thereof USA and others LEE, Chun Sing(CHEM); ZHANG, Wenjun(MSE); LU, Zhenzhen(AP); WANG, 

Hao(AP)311 Electrical Load Driving Apparatus USA CHUNG, Shu Hung Henry(EE); ZHANG, Rui Hong(EE); TO, Adam*312 Perflouoro‐t‐Butoxy Allyl and Propargyl Ethers USA and others WONG, Kwun Chung Paul(BCH); LUI, Yuk Yu Matthew(BCH); LAW, Chung 

Kay Edwin(CHEM); HORVATH, Istvan Tamas(BCH); LAU, Kai Chung(CHEM)

314 System and Method for Transmitting Data in a Network USA WANG, Meiqian(EE); LI, Shuo(EE); WONG, Wing Ming Eric(EE); ZUKERMAN, Moshe(EE)

315 Method and Apparatus for Depth Video Coding Using Endurable View Synthesis Distortion

USA KWONG, Tak Wu Sam(CS); ZHANG, Yun(CS)

316 Protein‐Ligand Docking USA YAN, Hong(EE); WANG, Zhiguan Doris(EE)317 Current Distribution Apparatus USA CHUNG, Shu Hung Henry(EE)318 Power Flow Control Apparatus USA and others CHEUNG, Sui Pung(EE); TO, Adam*; CHUNG, Shu Hung Henry(EE)319 Electrical Load Driving Apparatu USA and others ZHANG, Rui Hong(EE); CHUNG, Shu Hung Henry(EE)320 Power Amplifier USA and others XUE, Quan(EE); CHEN, Shichang(EE)321 Method for Separating Kukoamine USA and others CHEUNG, Hon Yeung(BMS); LI, Yuan Yuan(BCH); DI, Rui(BCH)322 Microfluid‐based Self‐breathing Photocatalytic Film‐free Fuel Battery China XUAN, Jin*; ZHANG, Hao*; XU, Hong*; ZHANG, Li*; WANG, Huizhi*; WANG, 

Bin(AERC); LEUNG, Kwok Hi Michael(E2)

Page 7: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

323 Microstrip Line Filter USA and others XUE, Quan(EE); QIN, Wei(EE)325 Regional Zooming Virtual Keyboards for Accurate Typing on Small Displays USA YAN, Hong(EE)326 Waveguides USA and others PUN, Yue Bun Edwin(EE); CHU, Sai Tak(PHY); TSUI, Ping Yuen Jacky(EE); 

ZHOU, Peng(AP)327 Target Pointing System Making Use of Velocity Dependent Cursor USA AU, Kin Chung(SM); SU, Xiaojun(CS); LAU, Wing Hung Rynson(CS)329 Porous Framework and Method For Its Manufacture USA and others LI, Yangyang(MSE); LU, Jian(MNE); TSANG, Chun Kwan(AP)330 Waveguide Coupler USA and others ZHOU, Peng(AP); CHU, Sai Tak(PHY); TSUI, Ping Yuen Jacky(EE)331 Determining Faulty Nodes Within a Wireless Sensor Network USA and others CHOW, Wai Shing Tommy(EE); MA, Wai Man Eden(PHMC); LAU, Chun 

Piu(PHMC)332 Determining Faulty Nodes Via Label Propagation within Wireless Sensor 

NetworkUSA and others ZHAO, Mingbo(PHMC); CHOW, Wai Shing Tommy(EE)

333 Solar Battery And Manufacturing Method Thereof China LIU, Zhen(AP); ZHANG, Xiaohong*; FUNG, Man Keung Roy(AP); LEE, Chun Sing(CHEM)

334 USB Power Supply USA and others CHUNG, Shu Hung Henry(EE); TSE, Chung Fai Norman(BST); CHAN, Yau Chung John(BST)

337 Fast Generation of Pure Phase Digital Holograms USA TSANG, Wai Ming Peter(EE)338 Converting Complex Holograms to Phase Holograms USA TSANG, Wai Ming Peter(EE)339 Signal Modulating Interface for A Solid State Electronic Device USA and others WANG, Jianjing(EE); CHUNG, Shu Hung Henry(EE)340 Method And Apparatus for Regulating An Electrical Power Source Based on 

Global And Local Maximum Load PowerUSA and others CHUNG, Shu Hung Henry(EE); MARIN, Walter*; YEUNG, Shun Cheung 

Ryan(EE)341 Apparatus And A Method for Surface Processing A Metallic Structure USA and others LU, Jian(MNE); LI, Ying(MNE)344 Method of Fabricating Improved Porous Metallic Material and Resulting 

Structure ThereofUSA ZHANG, Jie(MBE); LU, Jian(MNE); LI, Yangyang(MSE)

345 Etching in the Presence of Alternating Voltage Profile and Resulting Porous Structure

USA ZHANG, Jie(MBE); LI, Yangyang(MSE); LU, Jian(MNE)

347 Detection of Analyte Using Coffee‐ring Effect USA CHEN, Ting Hsuan(BME); LIU, Wei(MBE); LI, Yuanhang(EE)348 Light Sensor USA CHUNG, Shu Hung Henry(EE); CHEUNG, Sui Pung(EE); YEUNG, Sin Yu(MBE); 

LAU, Tsz Kit(MBE); WONG, Hoi Ling(CA); SIU, Hoi Sing(EF)349 Power Amplifier Circuit for Communication Systems USA and others SHEN, Yi(EE); TSANG, Kim Fung(EE)350 Composite For Preparing A Transdermal Delivery Device And Method For 

Synthesizing The Composite ThereofUSA and others CHEN, Xianfeng(AP); CHEN, Wei(AP)

351 Membrane Staining Dyes Containing Phosphorescent Transistion Metal Polypyridine Complexes

USA ZHANG, Yin(BCH); LAU, Tsan Shing(BCH); HUA, Lijuan(BCH); LO, Kam Wing Kenneth(CHEM)

352 Structure of Energetic Materials And The Method for Preparing Thereof USA and others LU, Jian(MNE); ZHANG, Kaili(MNE)353 Fabrication and Replication of Polymer Optical Waveguides USA CHIANG, Kin Seng(EE); JIN, Wei(EE); CHAN, Hau Ping Andy(EE)

Page 8: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

354 Cross Model Datum Access With Semantic Preservation For Universal Database

China WONG, T Y Kenneth(CS); LAM, Brian(CS); SHIU, H C Herbert(CS); FONG, Shi Piu Joseph(CS)

355 Apparatus And A Method for Electromagnetic Signal Transition USA and others WU, Peng(EE); XUE, Quan(EE)356 Neural Induced Enhancement of Audio Signals USA and others TSANG, Wai Ming Peter(EE)357 Method And A Device for Detecting A Substance USA and others NING, Zhi(E2); WONG, Ka Chun(E2); CHAN, Ka Lok(E2); WESTERDAHL, 

Dane(E2)358 Circularly Polarized Antenna USA and others XUE, Quan(EE); LIAO, Shaowei(EE); WU, Peng(EE)359 Conversion of Complex Hologram to Phases Hologram USA and others TSANG, Wai Ming Peter(EE)360 Target Acquisition System for Use in Touch Screen Graphical Interface USA and others AU, Kin Chung(SM); LAU, Wing Hung Rynson(CS); SU, Xiaojun(CS)361 Loaded Particles and the Method of Preparing Thereof USA YAN, Li(AP); CHEN, Xianfeng(AP)362 Optical Sensing Apparatus And A Method for Detecting Characteristics of A 

SampleUSA and others NG, Siu Pang(MSE); WU, Chi Man Lawrence(MSE); WU, Shu‐yuen(EE); HO, 

Ho‐pui Aaron(EE); KONG, Siu‐kai*363 An Electric Filter for a Motor System Hong Kong CHUNG, Shu Hung Henry(EE); YUEN, Kuen Faat(EE)364 Electromagnetic Wave Mode Transducer USA and others XUE, Quan(EE); WU, Peng(EE)365 Current Control Apparatus USA and others YUEN, Kuen Faat(EE); CHUNG, Shu Hung Henry(EE); FAN, Wing To(EE)366 An Input Filter for a Power Electronic System USA and others YUEN, Kuen Faat(EE); CHUNG, Shu Hung Henry(EE); FAN, Wing To(EE)367 Power Factor Correction Circuit for Power Electronic System USA and others YUEN, Kuen Faat(EE); CHUNG, Shu Hung Henry(EE); FAN, Wing To(EE)368 Facilitating improved luminance uniformity in organic light emitting diode 

device panelsUSA YAN, Wei(EE)

369 Facilitating Improved Luminance Uniformity in Organic Light Emitting Diode Device Panels

USA CHUNG, Shu Hung Henry(EE); YAN, Wei(EE)

370 N‐Way Coaxial Waveguide Power Divider/Combiner USA XUE, Quan(EE); WU, Peng(EE)371 Neural control holography USA TSANG, Wai Ming Peter(EE)372 System and Method for Fusing Cells USA SUN, Dong(BME); CHEN, Shuxun(BME); WANG, Ran(MBE)374 Clamping Device, Bra Filling Body And Production Method of Clamping Device China SHIN, Woo Kyung Kristina*; DOWNING, Kevin John(CUC); HAN, Fred*

375 Breast Prosthesis And Bra Filling Body China SHIN, Woo Kyung Kristina*; DOWNING, Kevin John(CUC); HAN, Fred*376 Holographic Encryption of Multi‐Dimensional Images USA TSANG, Wai Ming Peter(EE)378 Monitoring User Activity Using Wearable Motion Sensing Device USA CHING, Hong Yan(EE); CHOI, Chi Kuen(EE); CHAN, Lai Hang Leanne(EE); 

YAN, Hong(EE); CHIM, Hung(EE)380 A System And Method for Detecting A Defect In A Structure Member USA and others LAU, Tak Bun Denvid(CA); CHENG, Tin Kei(CA)381 Systems and Methods for Automated Handling of Live Organisms USA SHI, Peng(BME); LIN, Xudong(MBE)382 System And A Method for Performing Modal Analysis on A Structure USA and others HE, Yuncheng(CA); LI, Qiusheng(CA)383 Facilitation of Error Tolerant Image Tracing Optimization USA FU, Hongbo(SM); SU, Qingkun*; LI, Wing Ho Andy(SM)385 Superhydrophobic Surface Arrangement, Article Comprising Same, and 

Method of Manufacture ThereofUSA WANG, Zuankai(MNE); LIU, Yahua(MBE)

Page 9: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

387 Apparatus for Detection of Electrical Signals of a Biological Subject and Electrode Thereof, and Method of Manufacture Thereof

USA LAM, Hiu‐wai Raymond(BME); HU, Dinglong(MBE); CHENG, Tin Kei(CA)

388 Inductive Power Transfer for Driving Multiple Organic Light Emitting Diode Panels

USA ZHOU, Rui(EE); ZHANG, Rui Hong(EE); CHUNG, Shu Hung Henry(EE)

389 Nanoparticle Composition for Use in Targeting Cancer Stem Cells and Method for Treatment of Cancer

USA and others LIU, Dandan(BMS); YANG, Mengsu Michael(BMS)

390 Nanostructured‐Lattices Produced by Surface Mechanical Attrition Treatment Method

USA WEN, Chunsheng(MBE); LU, Jian(MNE); MAI, Phu Son*

392 Surface Mechanical Attrition Treatment (SMAT) Methods and Systems for Modifying Nanostructures

USA and others LU, Jian(MNE); LI, Yangyang(MSE); LEE, Christopher Michael(AP)

393 LED Lighting Circuit USA and others CHUNG, Shu Hung Henry(EE); ZHANG, Rui Hong(EE)394 Shorted Bowtie Patch Antenna with Parasitic Shorted Patches USA and others LUK, Kwai Man(EE); LAI, Hau Wah(SKMW); CHAN, Chi Hou(EE); MAK, Ka 

Ming(SKMW)395 Sorbent Material and a Method for Enhancing Sorption Performance Thereof USA CHAN, Chiu Yee(AP); TANG, Yongbing(AP); LEE, Chun Sing(CHEM); WONG, 

Fu Lung(AP)396 Dual Polarized High Gain And Wideband Complementary Antenna USA and others LAI, Hau Wah(SKMW); WONG, Hang(EE); CHAN, Chi Hou(EE); LUK, Kwai 

Man(EE); SO, Kwok Kan(SKMW)397 Differential Planar Aperture Antenna USA XUE, Quan(EE); LIAO, Shaowei(EE); WU, Peng(EE)398 Aperture‐Coupled Microstrip‐Line Feed for Circularly Polarized Patch Antenna USA LUK, Kwai Man(EE); CHAN, Chi Hou(EE); LAI, Hau Wah(SKMW); MAK, Ka 

Ming(SKMW)399 Electronic Device for Data Storage And A Method of Producing An Electronic 

Device for Data StorageUSA and others VELLAISAMY, A. L. Roy(MSE); HAN, Su‐Ting(AP); ZHOU, Ye(AP)

400 Method of Determining Cell Cycle Stage Distribution of Cells USA DONG, Hong Juan*; LAM, Yun Wah(CHEM)401 Fast Generation of Digital Holograms USA TSANG, Wai Ming Peter(EE)402 Holographic Encryption of Multi‐Dimensional Images and Decryption of 

Encrypted Multi‐Dimensional ImagesUSA TSANG, Wai Ming Peter(EE)

404 A Medium for a Dental Structure USA and others LU, Jian(MNE); NIU, Xinrui(MNE); CHEN, Bing(MBE)406 Group‐aware Command‐based Arrangement of Graphic Elements USA XU, Pengfei*; TAI, Chiew‐Lan*; FU, Hongbo(SM)409 A Complementary Antenna And An Antenna System USA and others LI, Yujian(EE); LUK, Kwai Man(EE)410 Converting a Single‐Ended Signal to a Differential Signal USA XUE, Quan(EE); LIAO, Shaowei(EE)411 Adjustable Floating Device China HAN, Fred*; DOWNING, Kevin John(CUC); SHIN, Woo Kyung Kristina*412 Heterodinuclear Platinum‐Ruthenium Complexes, Preparation Thereof and 

Therapeutic Use ThereofUSA ZHU, Guangyu(CHEM); MA, Lili(CHEM)

413 A System And Method For Translating A Sign Language To A Vocal Language Hong Kong YIN, Cheng‐Hsuan(IS); WU, Xianfeng(AC); SU, Yuting(IS)

Page 10: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

414 A Method and Apparatus for Matching Buyers with Sellers in a Marketplace to Facilitate Trade

USA and others TAN, Chee Wei(CS); ZHENG, Liang(CS)

418 Quadrature Voltage Controlled Oscillator USA and others ZHANG, Haiwei(EE); XUE, Quan(EE); SHUM, Kam Man(SKMW)419 Electronic Apparatus for Use in A Communication System USA and others SHUM, Kam Man(SKMW); XUE, Quan(EE); LAM, Wai Lun(SKMW)420 Impedance Matching Arrangement for Amplifier And Amplifier USA and others ZHANG, Haiwei(EE); XUE, Quan(EE); SHUM, Kam Man(SKMW)421 Facilitation of Increased Locking Range Transistors USA and others SHUM, Kam Man(SKMW); XUE, Quan(EE); ZHOU, Haifeng(SKMW)422 A Patch Antenna, Equipment And An Antenna System USA and others LI, Yujian(EE); LUK, Kwai Man(EE)423 Copper Substituted Compound, Cathode of Battery Comprising Same, And 

Battery Comprising Such CathodeUSA and others LEE, Chun Sing(CHEM); YU, Denis Yau Wai(E2); KANG, Wenpei(AP)

424 Antenna USA and others PAN, Yongmei(EE); GUO, Lei(EE); LEUNG, Kwok Wa(EE)425 Phosphorescent Transition Metal Complex, Its Preparation And Use USA and others LIU, Huawei(CHEM); LEE, Cho Cheung(CHEM); LO, Kam Wing 

Kenneth(CHEM)426 Homogeneous And Transparent Protective Coatings For Precious Metals And 

Copper Alloys And Preparation Method ThereofUSA and others LI, Kwok Yan(MNE); ZHOU, Zhifeng(NPMM); SHUM, Po Wan(MNE)

427 A Composite Material Based Panel USA and others LU, Yang(MNE)428 Electrochemical Detector USA and others VELLAISAMY, A. L. Roy(MSE)429 Chemosensing Hydrogel for The Detection of Biogenic Amines USA and others LAM, Hon Wah Michael(CHEM)431 A Repairable Electrical Component USA and others ZHI, Chunyi(MSE); HUANG, Yang(AP); HUANG, Yan(AP)432 Multivariate Cryptography Based on Clipped Hopfield Neural Network USA and others WANG, Jia(EE); CHENG, Lee Ming(EE)433 Antenna USA and others LEUNG, Kwok Wa(EE); FENG, Li Ying(EE)435 System and Method for Optimizing A User Interface and A System and 

Method for Manipulating A User's Interaction with An InterfaceUSA and others CHAN, Antoni Bert(CS); PANG, Xufang(CS); LAU, Wing Hung Rynson(CS); 

CAO, Ying(CS)436 Nanoparticles, Method of Preparing The Same And Their Use USA ZHANG, Jinfeng(AP); ZHANG, Xiaohong*; WONG, Fu Lung(AP); LEE, Chun 

Sing(CHEM)440 Polyelectrolyte, Production And Use Thereof in Energy Storage Devices USA and others HUANG, Yan(AP); ZHI, Chunyi(MSE)441 Material For Use In A Battery, A Battery And A Method Of Manufacturing A 

Material For Use In A BatteryUSA and others YU, Denis Yau Wai(E2); LI, Yingshun(E2)

442 Substrate for A Three‐dimensional Cell Culture, Its Preparation And Use USA and others SHEN, Yajing(BME); DAI, Gaole(MBE); WAN, Wenfeng(MBE)443 System And Method for Manipulating An Object for Imaging USA and others WAN, Wenfeng(MBE); ZHANG, Lijun(MBE); SHEN, Yajing(BME)444 A display apparatus, system and device Hong Kong WONG, Hei Ting Tamar(SM)445 Structural Panel, A Strucutral System And A Method of Forming A Structural 

PanelUSA and others LAI, Ki Leuk Joseph(AP)

446 An Apparatus for Generating Moveable Screen Across A Three Dimensional Space

USA LAM, Miu Ling(SM); CHEN, Bin(SM); HUANG, Yaozhun(BME)

447 Method of Producing Graphene‐based Materials, Obtainable Materials And Their Use

USA and others KAI, Jijung(MNE); HU, Yonglong(MBE); NIU, Xinrui(MNE)

Page 11: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

448 A Material for An Electronic Device USA and others WANG, Aiwu(AP); LU, Jian(MNE); LI, Yangyang(MSE)449 Circuit And Method for Promoting Bandwidth Enhancement of Low Noise 

AmplifierUSA and others QIN, Pei(EE); SHUM, Kam Man(SKMW); XUE, Quan(EE)

450 Method for Treating Surface of Metallic Structure USA and others LI, Yangyang(MSE); LU, Jian(MNE); ZHAN, Yawen(AP)451 System and Method for Operating a Server Farm USA WONG, Wing Ming Eric(EE); ZUKERMAN, Moshe(EE); FU, Jing(EE); GUO, 

Jun(EE)452 Facilitating Interference Management in Multi‐Cell and Multi‐User Millimeter 

Wave Cellular NetworksUSA and others KIM, Taejoon(EE); DONG, Miaomiao(EE); CHAN, Wai Ming(EE)

453 An Electric Device for Detecting Pressure USA and others SUN, Qijun(MSE); ZHUANG, Jiaqing(AP); VELLAISAMY, A. L. Roy(MSE)454 Circuit Arrangement for Filtering An Electric Current USA and others WANG, Kewei(EE); CHUNG, Shu Hung Henry(EE)455 Semiconductor Film and Method of Forming the Same USA BIAN, Juncao(PHY); ZHANG, Ruiqin(PHY)456 Circularly Polarized Planar Aperture Antenna with High Gain and Wide 

Bandwidth for Millimeter‐Wave ApplicationUSA XUE, Quan(EE); BISHARAT, Dia'aaldin J.(EE); LIAO, Shaowei(EE)

457 Method for Tracking an Object in an Image Sequence USA YAN, Hong(EE); CHEN, Long(EE); ZHAO, Zhongying*458 A Multistable Structure and a Method for Making Thereof USA and others HE, Xiaoqiao(CA); YI, Shenghui(RSZ); LU, Jian(MNE)459 Electrchemical Detector USA and others VENKATESH, Shishir(MSE); LI, Tan(CA); VELLAISAMY, A. L. Roy(MSE)461 System And Method for Load Balancing in A Data Network USA and others XU, Hong(CS); WANG, Peng(CS)462 Composite Negative Material Adopting Three‐dimensional Porous Carbon to 

Coat Silicon And Preparation Method ThereofChina ZOU, Rujia(AP); YUEN, Muk Fung(MSE); ZHANG, Wenjun(MSE)

465 A Thermostat Apparatus And A Temperature Regulation System China TSE, Chung Fai Norman(BST); CHUNG, Shu Hung Henry(EE); CHAN, Yau Chung John(BST); LAI, Chun Tak Jacky(EE); LAI, Chun Tak Jacky*; YEUNG, Shun Cheung Ryan(EE)

466 Thermostat Apparatus And Temperature Regulation System China YEUNG, Shun Cheung Ryan(EE); LAI, Chun Tak Jacky(EE); LAI, Chun Tak Jacky*; CHAN, Yau Chung John(BST); CHUNG, Shu Hung Henry(EE); TSE, Chung Fai Norman(BST)

467 A Thermostat Regulation System And A Power Regulation Apparatus China YEUNG, Shun Cheung Ryan(EE); LAI, Chun Tak Jacky*; LAI, Chun Tak Jacky(EE); CHAN, Yau Chung John(BST); CHUNG, Shu Hung Henry(EE); TSE, Chung Fai Norman(BST)

468 Electrical Apparatus And Method for Fabricating Electrical Apparatus USA and others ZHU, Minshen(AP); ZHI, Chunyi(MSE)469 Server Farm and Method for Operating the Same USA FU, Jing(EE); GUO, Jun(EE); ZUKERMAN, Moshe(EE); WONG, Wing Ming 

Eric(EE); MORAN, William*471 Energy Storage Apparatus USA ZHI, Chunyi(MSE); LI, Hongfei(MSE)472 Efficiently Generating a High Quality Patterned‐Phase‐Only Hologram for 

Display on a Single DeviceUSA CHOW, Yuk Tak(EE); TSANG, Wai Ming Peter(EE)

474 Optochemical Detector and a Method for Fabricating an Optochemical Detector

USA WU, Chi Man Lawrence(MSE); NG, Siu Pang(MSE); LAW, Hui Lun Anton(AP); QIU, Guangyu(MSE)

Page 12: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

476 A System for Printing an Object and a Method for Printing an Object USA ZHAO, Shengdong*; ZHU, Kening(SM); Dancu, Alexandru*478 A Navigation Assistance System Hong Kong CHEUNG, Chak Chung Ray(EE); Li, Tsz Kan(IS); CHAU, Yin Chi(AC); TSE, Pui 

Yee Eva(SS); CHAN, Ka Lee(SS); NGAI, Lok Yi Anthy(SS); CHEUNG, Chun Ho Terence(CB); TSE, Ka Pik(IS); LAI, Chi Fai(IS)

479 Method for Regulating An Electrical Power Circuit And Electrical Power Regulating Apparatus

USA and others CHUNG, Shu Hung Henry(EE); TSE, Hiu Kwan(EE)

480 Determination of a Concentration of an Analyte in a Subject USA YAN, Wenrong(MSE); WEI, Liping(MSE); TIAN, Yi(MSE); HO, Derek(MSE)481 An Electronic System for Creating an Image and a Method of Creating an 

ImageUSA TSANG, Sze Chun(SM); LAM, Miu Ling(SM); HUANG, Yaozhun(BME); CHEN, 

Bin(SM)482 Kit and a Method for Determining the Presence or Amount of a Target Nucleic 

Acid Sequence in a SampleUSA ZHAO, Zichen(MBE); CHEN, Shan(MBE); AU, IEONG Ka I(MBE); CHEN, Ting 

Hsuan(BME)483 Particulate matter sensor China WANG, Huanxue(E2); WEI, Peng(E2); SUN, Li(E2); YE, Sheng(E2); YANG, 

Xiang*; NING, Zhi(E2)484 Emissions Treatment Apparatus and a Method for Treating Emissions USA LAU, Siu Chuen(MSE); VELLAISAMY, A. L. Roy(MSE); WONG, Chung Kai 

Joseph(CA)485 Method and An Apparatus for Use in an Electric Circuit USA CHENG, Chun Sing(EE); CHUNG, Shu Hung Henry(EE); LAU, Wing Hong 

Ricky(EE)489 Method for use in optical imaging, a system for using in optical imaging and 

an optical systemUSA LU, Haojian(BME); SHEN, Yajing(BME)

490 Phase Retrieval Using Coordinate Decent Techniques USA ZENG, Wenjun(EE); SO, Hing Cheung(EE)491 System, Device and Sensor for Monitoring Circulatory Conditions and a 

Method for Manufacturing the sameUSA and others SUN, Qijun(MSE); ZHUANG, Jiaqing(AP); VELLAISAMY, A. L. Roy(MSE)

492 Augmented Reality Systems and Methods USA TSANG, Wai Ming Peter(EE)494 Metallic Structure USA and others WU, Ge(MNE); LU, Jian(MNE)495 System and Method for Delivery of Substrace into Mammalian Cells USA CHOW, Yu Ting(MBE); WANG, Ran(MBE); SUN, Dong(BME)496 Systems And Methods for Rate Control in Video Coding Using Joint Machine 

Learning And Game TheoryUSA KWONG, Tak Wu Sam(CS); GAO, Wei(CS)

497 Metal Material And A Method for Use in Fabricating Thereof USA and others WU, Ge(MNE); LU, Jian(MNE)498 A Circuit Arrangement for use in a Power Conversion Stage adn a Method of 

Controlling a Power Conversion StageUSA CHUNG, Shu Hung Henry(EE); WANG, Kewei(EE)

499 An Electrochemical Detector USA and others VENKATESH, Shishir(MSE); Yeung, Chi Chung(CHEM); VELLAISAMY, A. L. Roy(MSE)

500 Corrosion‐free electrolyte for dye‐sensitized solar cells USA LEE, Chun Sing(CHEM); WU, Chi Man Lawrence(MSE); NG, Siu Pang(MSE); Fu, Yu‐Qiao(AP)

501 Counter‐rotating vertical axis wind turbine with deflector and vortex generators

USA Peng, Hua Yi(CA); LAM, Heung Fai(CA)

Page 13: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

502 Method of Fabricating Nanoporous Metal Structure USA SHEK, Chan Hung(MSE); Xu, Yi(MSE); Yiu, Pak Man(MSE)503 Small‐Interergin RNA Expression Systems for Production of Small‐Interferiong 

RNAs and Their UseUSA and others Huang, Lin Feng(BMS); Ren, Yu Tian(BMS); Cheung, Hung Chi(BMS); Kaur, 

Guneet(BMS)504 Systems and Methods for Privacy‐Assured Similarity Joins Over Encrypted 

DatasetsUSA WANG, Cong(CS); Nutanong, Sarana(CS); Yuan, Xing Liang(CS); Yu, Chen 

Yun(CS); Wang, XinYu(CS)505 Luminogenic Transition Metal‐based Pyridyl Complex and Its Use USA Tang, Siu Ming(BCH); LIU, Huawei(CHEM); LEE, Cho Cheung(CHEM); LO, 

Kam Wing Kenneth(CHEM)506 Composite Material Composition And A Method of Forming A Composite 

Material CompositionUSA LU, Yang(MNE); SONG, Jian(MBE)

507 Cytotoxic Plantium Complex, its Preparation and Therapeutic Use USA MA, Lili(CHEM); ZHU, Guangyu(CHEM)508 Cubic Boron Nitride Coating Cutter And Preparation Method Thereof China He, Bin(MSE); ZHANG, Wenjun(MSE)510 Method for Converting Organic Waste Materials, Product And Application of 

ProductChina and others

Kwan, Tsz Him(E2); Ming, Yiu Heng Ernest*; LIN, Sze Ki Carol(E2)

512 Systems and Methods for Optical Frequency Comb Generation Using a Microring Resonator

USA CHU, Sai Tak(PHY); ZHU, Kun(PHY)

513 Method for Preparing Hierarchically Porous Doped Carbon Material and its use

USA PEI, ZengXia(MSE); ZHI, Chunyi(MSE)

514 High Entropy Alloy Thin Film Coating and Method for Preparing The Same USA LIAO, Weibing(MNE); LU, Yang(MNE)

515 Method for Surface Modification of Nanoparticles USA Kong, Wei(AP); Sun, Tian Ying(CHEM); WANG, Feng(MSE)516 An Apparatus and Method for Testing Performacne of an Electrosurgical Tool USA LI, Kwok Yan(MNE); SHUM, Po Wan(MNE); ZHOU, Zhifeng(NPMM)

517 Cerium Oxide Coating, Its Preparation and Use USA ZHOU, Zhifeng(NPMM); SHUM, Po Wan(MNE); Shi, Zhen(MBE); LI, Kwok Yan(MNE)

518 Electrochemical Detector USA and others LAM, Hon Wah Michael(CHEM); Yeung, Chi Chung(CHEM); Chung, Kam Sing(MSE); LAU, Siu Chuen(MSE); VELLAISAMY, A. L. Roy(MSE)

519 Systems and Methods Providing Anti‐counterfeiting with MUlti‐mode Luminescent Nanotaggants

USA Chen, Xian(AP); Sun, Tian Ying(CHEM); WANG, Feng(MSE)

520 Method for Operating a Distributed Key‐Value Store USA Wang, XinYu(CS); Guo, Yu(CS); Yuan, Xing Liang(CS); WANG, Cong(CS)521 Low‐loss Silicon on Insulator Based Dielectric Microstrip Line USA Zhu, Hao Tian(SKMW); XUE, Quan(EE)522 Method of Making Carbon Nanotubes Doped with Iron, Nitrogen and Sulphur USA LI, Yangyang(MSE); LU, Jian(MNE); Zeng, Shan Shan(MSE)

523 Modular Management Method And Device of Big‐data Processing Process China XU, Chang(CS); JIA, Wen Long(CS); LI, Shuai Cheng(CS)524 Isolated Carbon Particle Embedded Diamond‐like Carbon Coating USA LI, Kwok Yan(MNE); Zia, Abdul Wasy(MNE); ZHOU, Zhifeng(NPMM)526 An Energy Absorbing Device USA LU, Jian(MNE); Tang, Tao(MNE); Gao, Yuan(MNE); Yao, Lu(MNE)527 An Energy Absorbing Device USA Tang, Tao(MNE); Gao, Yuan(MNE); Yao, Lu(MNE); LU, Jian(MNE)

Page 14: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

528 System And Method for Four‐dimensional Printing of Ceramic Origami Structures

USA and others LU, Jian(MNE); LIU, Guo(MNE)

529 System and Method for Processing a Data Structure USA ZENG, Wenjun(EE); SO, Hing Cheung(EE)530 HEVC with Complexity Control based on Dynamic CTU depth range 

adjustmentUSA KWONG, Tak Wu Sam(CS); ZHANG, Jia(CS)

531 Method for Next Generation Sequencing based Genetic Testing USA ZHAO, Zi Cheng(CS); Tan, Bowen(CS); LI, Shuai Cheng(CS)532 Method of Producing Poyunsaturated Fatty Acid USA So, Lok Man(CHEM); Tse, Man Chak(CHEM); Chi, Kai Kin(CHEM); YEUNG, 

Sin Yu(MBE); KONG, Yuen Chong Richard(CHEM)533 System and Method for Providing Multi‐wavelength Laser USA WANG, Lidai(BME); Liang, Yi Zhi(MBE)534 Terahertz Injection‐Locked Radiator System USA Wu, Liang(EE); XUE, Quan(EE)535 Chip‐and‐Package Distributed Antenna USA XUE, Quan(EE); Wu, Liang(EE); LIAO, Shaowei(EE)536 Adhesive System. Method of Manufacture Thereof and Biological Kit 

Comprising SameUSA ZHAO, Yan Hua(MBE); Wu, Yang(MBE); WANG, Liang(MBE); WANG, 

Zuankai(MNE)537 Doherty Power Amplifier USA HO, Derek(MSE); CHAN, Wing Shing(EE); ZHOU, Xin Yu(EE); Zheng, Shao 

Yong*; CHEN, Shichang*539 A System and Method for Conducting a Textual Data Search USA XU, Rui Yun(IS); CHEN, Hai Liang(IS); ZHAO, Jianliang(IS)540 Electronic Storage System and a Method of Data Management USA Cai, Chengjun(CS); Yuan, Xing Liang(CS); WANG, Cong(CS)541 Phase‐Tuning Oscillators USA Wu, Liang(EE); XUE, Quan(EE)542 Method of Diagnosing An Electrical Energy Storage Apparatus, An Electronic 

Device for Use in An Electrical Energy Storage Apparatus And An Electrical Energy Storage Apparatus

USA CHUNG, Shu Hung Henry(EE); LAU, Wing Hong Ricky(EE); Liu, Chun For(EE)

543 A Gesture Recognition Apparatus and Components Thereof USA Chan, Rosa(EE); Siddiqui, Nabeel(EE)544 Ruthenium Complex for Use in Nitrite Detection USA LO, Hoi Shing(BCH); WONG, Chun Yuen Alex(CHEM)545 Drug Delivery Device and its Method of Manufacture USA ROGACH, Andrey(MSE); Lai, Wing Fu(AP)546 System and Method for Ankle Rehabilitation USA LU, Haojian(BME); SHEN, Yajing(BME)549 Application of Compound in Manufacturing Medicine Treating Metastatic 

CancerUSA and others Yue, Jianbo(BMS)

550 A Method of Facilitating Natural Language INteractions, A Method of Simplifying an Expression and System Thereof

USA Lee, John Sie Yuen(LT)

552 Method for Making Aerogel USA Xu, Yan(E2); LEUNG, Kwok Hi Michael(E2); DAOUD, Walid(E2)553 Electric circuit arrangement and a method for generating electric current 

pulses to a loadUSA CHUNG, Shu Hung Henry(EE); Tung, Chung Pui(EE); CHEUNG, Sui Pung(EE); 

FAN, Wing To(EE); CHOW, Po Wah Jeff(EE)555 Method for Determining Optimal Laying Arrangement of Infrastructure Link USA TAHCHI, Elias*; WANG, ZengFu(EE); Wang, Qing(EE); Wang, Gang*; 

CUCKER, Felipe(MA); GUO, Jun(EE); ZUKERMAN, Moshe(EE); MORAN, William*; WANG, Yu(CA)

Page 15: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

557 Method for fabricating a polymeric material for use in an energy storage apparatus, a polymeric material and an energy storage apparatus comprising thereof

USA HUANG, Yan(AP); ZHI, Chunyi(MSE)

558 Apparatus for Magnetostrictive Sensor for Guided‐Wave‐Based Inspection, and its Associated System and Method

USA TSE, Wai Tat Peter(SEEM); Fang, Zhou(SEEM)

559 Optical mask for use in a photolithography process, a method for fabricating the optical mask and a method for fabricating an array of patterns on a substrate using the optical mask

USA Fang, Ming(AP); Shu, Lei(AP); HO, Chung Yin Johnny(MSE)

560 System And Method for Controlling A Switching Network of A Power Regulation Circuit

USA FAN, Wing To(EE); YEUNG, Shun Cheung Ryan(EE); CHUNG, Shu Hung Henry(EE)

561 Systems And Methods for Robust Low‐rnk Matrix Approximation USA SO, Hing Cheung(EE); Chen, Jia Yi*; ZENG, Wenjun(EE)562 Method of Producing Antibody Fragment USA and others Chan, Lai Leo(SKMP); WEI, Likun(BMS); SHI, Jiahai(BMS); FENG, Li 

Min(SKMP)564 Wireless Optogenetic Device and Associated Radiation System USA Liu, Ming*; LIN, Xudong(MBE); SHI, Peng(BME)566 Autostereoscopic Multi‐view Display System And Related Apparatus USA Ruan, Ling Yan(SM); LAM, Miu Ling(SM); CHEN, Bin(SM)567 Dual‐fed Dual‐frequency Hollow Dielectric Antenna USA FENG, Li Ying(EE); LEUNG, Kwok Wa(EE)568 A Hybrid Polymeric Structure, A Method for Fabricationg a Hybrid Polymeric 

Structure and A Method for Connecting Two Polymeric Layers with the Bybrid Polymeric Structure

USA ZHAN, Yue Xing(MBE); CHAN, Yan Ting(MBE); WONG, Tsz Ling(MBE); YIU, Tak Mei(MBE); NIU, Xinrui(MNE)

569 Communication System And A Method for Operating Or Evaluating The Same Using Selective Base Station Sleeping

USA WONG, Wing Ming Eric(EE); Wu, Jingjin Timothy(EE); ZUKERMAN, Moshe(EE); GUO, Jun(EE)

570 Base Station Sleep Mode Based on Powder Consumption And/or Quality of Service

USA ZUKERMAN, Moshe(EE); Wu, Jingjin Timothy(EE); Chan, Yin Chi(EE); WONG, Wing Ming Eric(EE)

571 An analytical method of determining the solution of an object with edges under applied load

USA GUO, Liang(MBE); ZHANG, Zhi MIng*; WANG, Wen*; WONG, Pat Lam Patrick(MNE)

572 Method for Accelerating Execution of Application in a Trusted Execution Environment

USA CUI, He Lei(CS); DUAN, Hua Yi(CS); WANG, Cong(CS)

573 Method for Manging Admission of Patients into Intensive Care Units in a Hospital Network

USA ZUKERMAN, Moshe(EE); Joynt, Gavin*; Chan, Yin Chi(EE); WONG, Wing Ming Eric(EE)

574 Rechargeable Polyacrylamide Based Polymer Electrolyte Zinc‐Ion Batteries USA LI, Hongfei(MSE); ZHI, Chunyi(MSE)575 Rechargeable zinc‐ion batteries having flexible shape memory USA WANG, Zifeng(MSE); ZHI, Chunyi(MSE)576 Method of determining target nucleic acid USA ZHANG, Wenjun(MSE); Wang, Xin(BMS); Wang, Zixun(BME); Huang, Lin 

Feng(BMS); SHI, Peng(BME)578 Dielectric Resonator Antenna USA and others GUO, Lei(EE); PAN, Yongmei(EE); LEUNG, Kwok Wa(EE)579 Dielectric Resonator Antenna USA and others GUO, Lei(EE); LEUNG, Kwok Wa(EE)

Page 16: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

581 A Metal Oxide Ink, a Method for Manufacturing the Same and a Method for Manufacturing an Organic Electronic Structure

USA CHENG, Yuanhang(MSE); YU, Binbin(MSE); MA, Yuhui(MSE); TSANG, Sai Wing Stephen(MSE)

582 Position and Magnetic Field Sensor USA RUOTOLO, Antonio(AP)583 Electric Circuit and Associated Method for Regulating Power Transfer in a 

Power GridUSA CHUNG, Shu Hung Henry(EE); He, Yuanbin(EE); LAI, Chun Tak Jacky(EE)

585 System and method for manipulating deformable objects USA Hu, Zhe(BME); Pan, Jia(MBE)586 Plantium Comples, its preparation and Therapeutic Use USA WANG, Zhi Gang(CHEM); Xu, Zou Feng(CHEM); ZHU, Guangyu(CHEM)588 Battery system and a method of forming a battery USA Wang, Hui Min(E2); YU, Denis Yau Wai(E2)589 Unidirectional Liquid Transport Systems And Methods of Manufacture 

ThereofUSA LI, Jia Qian(MBE); ZHOU, Xiao Feng*; WANG, Zuankai(MNE)

590 Composite And Method of Preparing It USA and others YAO, Xi(BMS); HE, Wen Qing(BMS)591 Underwater Self‐healable Materials, Methods of Making Thereof, And 

Products Comprising SameUSA YAO, Xi(BMS); LIU, Mei Jin(BMS)

592 Current control device USA CHUNG, Shu Hung Henry(EE); FAN, Wing To(EE); CHOW, Po Wah Jeff(EE); Tung, Chung Pui(EE); Chan, Wan Tim(EE); Ho, Ka Wai*; Tse, Chiu Sing Celement*

593 Aerial System And A Method of Controlling An Aerial System USA HSIAO, Yi Hsuan(MBE); CHIRARATTANANON, Pakpong(BME)594 Method of Producing DNA and DNA Library USA Kwok, Chun Kit(CHEM); Yeung, Pui Yan(CHEM)595 Antimicrobial Peptide, Its Analog And Use USA SUN, Hong Yan(CHEM); LAM, Yun Wah(CHEM); AU, Wai Ting Doris(CHEM)

596 Doherty Power Amplifier Circuit USA HO, Derek(MSE); CHAN, Wing Shing(EE); Fang, Xiao Hu*; Zheng, Shao Yong*; ZHOU, Xin Yu(EE)

598 Conductive yarn‐based nickel‐zinc textile batteries USA ZHI, Chunyi(MSE); TANG, Zi Jie(MSE); HUANG, Yan*599 Systems and Methods for Signal Processing Using Coordinate Descent 

Techniques for Unit Modulus Least Squares (UMLS) and Unit‐Modulus Quadratic Program (UMQP)

USA Zoubir, Abdelhak M.*; Chen, Jia Yi*; ZENG, Wenjun(EE); SO, Hing Cheung(EE)

600 Device And Method for Visual Quantification of An Amount of Target Species in A Sample Solution

USA CHEN, Ting Hsuan(BME); ZHAO, Zichen(MBE)

601 Methods of Treatment of Viral Infection and Uses of Anti‐Hsc70 Inhibitors USA HE, Ming Liang(BMS)

602 Gel Polymer Electrolytes Comprising Electrolyte Additive USA TANG, Zi Jie(MSE); ZHU, Minshen(AP); ZHI, Chunyi(MSE)603 Anti‐sticking Material China LI, Kwok Yan(MNE); ZHOU, Zhifeng(NPMM)604 Antenna USA GUO, Lei(EE); YANG, Nan(EE); LEUNG, Kwok Wa(EE)605 An Antenna Assembly USA LEUNG, Kwok Wa(EE); TSANG, Kim Fung(EE); GUO, Lei(EE)606 Method for Constructing A Printed Ceramic Object And A Ceramic Object 

Constructed by The SameUSA and others LIU, Guo(MNE); LU, Jian(MNE)

Page 17: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

610 Systems and Methods Using a Wearable Sensor for Sports Action Recognition and Assessment

USA Wang, Yufan(MBE); Zhang, Guanglie(MNE); LI, Wen Jung(MNE); CHAN, Ho Man(EE)

611 Waveguide Fed Open Slot Antenna USA YI, Xuan(EE); WONG, Hang(EE)612 Method for Modifying A Surface of A Metallic Substrate Material USA LI, Yangyang(MSE); LU, Jian(MNE); ZHAN, Yawen(AP)614 Adaptable Electrical Component USA ZHI, Chunyi(MSE); MO, Fu Nian(MSE)615 Filtering Dielectric Resonator Antennas Implementing Radiation Cancellation USA LIU, Yan Ting(EE); REN, Jian(EE); LEUNG, Kwok Wa(EE)

617 Electroluminecent device and a light emitting system USA LIANG, Guojin(MSE); ZHI, Chunyi(MSE)618 Energy Storing Electrical Device And A Method of Constructing An Elecrical 

DeviceUSA ZHI, Chunyi(MSE); WANG, Yukun(MSE)

619 Energy Harvesting And Storage Apparatus And A Method of Forming Thereof USA WANG, Zifeng(MSE); ZHI, Chunyi(MSE)

620 System And Method for Enabling The Secure Storage, Transmission And Access of Genetic Data

USA LI, Shuai Cheng(CS); DONG, Wei(BCH)

621 Method for the Fabrication of Architected 3D High Entropy Alloy Structures USA LU, Yang(MNE); Surjadi, James Utama(MNE)

622 System and Method for Determining Optimal Path Arrangements for an Infrastructure Link with Two or More Design Levels

USA ZUKERMAN, Moshe(EE); Wang, Qing(EE); MORAN, Bill*; WANG, ZengFu(EE); TAHCHI, Elias*

623 Robust Electrical Component And An Electrolyte for Use in An Electrical Component

USA Liu, Zhuoxin(MSE); ZHI, Chunyi(MSE)

624 System And Method for Controlling Operation of an Autonomous Vehicles USA YEUNG, Kai Hau Alan(EE); ZUKERMAN, Moshe(EE)625 An Energy Storage Device, an electrolyte and a method of forming an energy 

storage deviceUSA MA, Longtao(MSE); ZHI, Chunyi(MSE)

628 Enrichment and determination of nucleic acids targets USA Zheng, Zongli(BMS); Kebede, Firaol Tamiru(BMS)629 System and method for processing data and managing information USA Zheng, Yifeng(CS); Cai, Chengjun(CS); WANG, Cong(CS)630 Systems And Method for Four‐dimensional Printing of Elastomer of Elastomer‐

derived Ceramic Structures by Compressive Buckling‐induced MethodUSA and others LIU, Guo(MNE); ZHAO, Yan(MBE); LU, Jian(MNE)

631 A System And Method for Four‐dimensional Printing of Elastomer‐derived Ceramic Structures by Self‐forming Method

USA and others ZHAO, Yan(MBE); LIU, Guo(MNE); LU, Jian(MNE)

633 Method for Processing Electronic Data USA SO, Hing Cheung(EE); Chen, Jia Yi*; ZENG, Wenjun(EE); Zoubir, Abdelhak M.*

635 Power Circuit and Its Operation Method for Regulating Power Transfer USA CHUNG, Shu Hung Henry(EE); YUEN, Kuen Faat(EE)636 System And Method for Determining A State of Photovoltaic Panel USA GARAJ, Martin(EE); HONG, Yiwen Kelvin(EE); CHUNG, Shu Hung Henry(EE)

Page 18: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

638 Method and an Apparatus for Producing a Film of Carbon Nitride Material USA XIONG, Wei(PHY); HUANG, Miaoyan(PHY); TIAN, Haoran(PHY); ZHANG, Ruiqin(PHY)

639 Method and a System for Analyzing Neuropharmacology of a Drug USA Wang, Xin(BMS); SHI, Peng(BME); LIN, Xudong(MBE)640 System and Method for Analyzing Survivability of an Infrastructure Link USA TAHCHI, Elias*; MORAN, William*; Wang, Qing(EE); ZUKERMAN, 

Moshe(EE); WANG, Zengfu*641 Guide RNA molecule and method dfor treating cancer USA Chin, Yuet Ming Rebecca(BMS); Hu, Jianyang(BMS); Wang, Xin(BMS)644 High Entropy Alloy Structure and a Method of Preparing the Same USA YANG, Yong(MNE); DING, Zhaoyi(MBE); HE, Quanfeng(MNE)646 Optical Printed Circuit Board and Its Fabrication Method USA CHIANG, Kin Seng(EE); LOR, Kar Pong(EE); JIN, Wei(EE); CHAN, Hau Ping 

Andy(EE)647 Convolutional Neural Network Based Sythesized View Quality Enhancement 

for Video CodingUSA ZHANG, Yun(CS); ZHU, Linwei(CS); KWONG, Tak Wu Sam(CS)

648 Electrode material and a method of preparing the same USA LEE, Pui Kit(E2); TAN, Tian(E2); YU, Yau Wai Denis(E2)649 Method and an Apparatus for Determining a Presence or an Amount of a 

Polyamine or Its Derivative in a SampleUSA VENKATESH, Shishir(MSE); Yeung, Chi Chung(CHEM); VELLAISAMY, A. L. 

Roy(MSE); LAM, Hon Wah Michael(CHEM)650 Generative Adversarial Network Based Intra Prediction for Video Coding USA KWONG, Tak Wu Sam(CS); ZHANG, Yun(CS); ZHU, Linwei(CS)651 Antibacterial Multifunctional Material with Self‐repairing Performance And 

Preparation And Application ThereofChina YAO, Xi(BMS); HE, Wen Qing(BMS)

652 Antenna Assembly USA GUO, Lei(EE); TSANG, Kim Fung(EE); LEUNG, Kwok Wa(EE)653 Power Generation Device and Electric Device Incorporating the Same USA YANG, Zhengbao(MNE)654 Rechargable Electrical Device USA TANG, Zi Jie(MSE); WANG, Zifeng(MSE); LI, Hongfei(MSE); ZHI, Chunyi(MSE)

655 Low Temperature Operable Electrical Energy Supply Device USA ZHI, Chunyi(MSE); LI, Hongfei(MSE); TANG, Zi Jie(MSE); MO, Fu Nian(MSE)

656 High Entropy Alloy Structure and a Method of Preparing the Same USA HE, Quanfeng(MNE); DING, Zhaoyi(MBE); YANG, Yong(MNE)657 Method And Composition for Treating Epilepsy USA and others LI, Xiao(NS); TAN, Ailian(BMS); PENG, Yujie(NS); XU, Shenghui(NS); YANG, 

Yujie(BMS); ZHANG, Xu(NS); HOSSAIN, Monir(BMS); HE, Jufang(NS)659 An Energy Storage Device, an Electrolyte for Use in an Energy Storage Device 

and a Method of Preparing the ElectrolyteUSA TANG, Zi Jie(MSE); MA, Longtao(MSE); LI, Hongfei(MSE); ZHI, Chunyi(MSE)

661 A Method of Forming a Composite Material and a Composite Material USA and others Fan, Rong(MNE); LU, Yang(MNE)663 System and Method for Rendering An Image USA Liu, Qi(EE); Palmerston, Jeremiah B.(EE); Qi, She(EE); CHAN, Ho Man(EE)664 Soft Body Robotic Device and Method of Making the Same USA LU, Haojian(BME); SHEN, Yajing(BME); Yang, Xiong(BME)667 Method of Treating Clostridium Difficile Infection Or Its Associated Symptoms USA Chang, Yung‐fu*; LI, Yingxue(BMS); XU, Wei(BMS); Huang, Lin Feng(BMS); 

Ren, Yu Tian(BMS)668 Method of Presenting Flight Data of an Aircraft and a Graphical User Interface 

for Use with the SameUSA LI, Lishuai(SEEM); CHARRUAUD, Florent(SEEM); ZHAO, Weizun(SEEM)

Page 19: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

671 Energy Storage Device, An Electrolyte for Use In An Energy Storage Device And A Method of Preparing The Electrolyte

USA WANG, Donghong(MSE); TANG, Zi Jie(MSE); LI, Hongfei(MSE); ZHI, Chunyi(MSE)

672 Antenna USA LUK, Kwai Man(EE); XU, Yanhong(EE)673 Energy Storage Device and a Method of Preparing the Same USA TANG, Zi Jie(MSE); WANG, Yukun(MSE); LI, Hongfei(MSE); ZHI, Chunyi(MSE)

674 Method And Composition for Treating Mental Disorder And Pain Associated with Nerve Damage

USA and others FENG, Hemin(NS); HE, Jufang(NS); ZHANG, Ge(BMS); HAU, Sarah(BMS); ZHANG, Xu(NS); XU, Shenghui(NS)

675 Nanostructured Colour Film And A Method for Preparing The Same USA BU, Yu(MNE); WU, Ge(MNE); LU, Jian(MNE)676 Electrode for Use in an Electrical Energy Storage Apparatus and a Method for 

Manufacturing an Electrode for Use in an Electrical Energy Storage ApparatusUSA ZHANG, Wenjun(MSE); ZHANG, Kaili(MNE); Wu, Shuilin(MSE)

677 Electrical Energy Storage Apparatus and a Method of Preparing the Same USA MA, Longtao(MSE); TANG, Zi Jie(MSE); LI, Hongfei(MSE); ZHI, Chunyi(MSE)

678 System And Method For Gaza Estimation USA LI, You Fu(MNE); SU, Dan(MNE)680 A Medium for Binding Components in an Assembly of an Electronic Device, a 

Method of Preparing the Same, a Display Assembly of an Electronic Device, and a System for Simulating Mechanical Behaviours of the Electronic Device and the Medium

USA NIU, Xinrui(MNE); XU, Bo(MNE)

682 System and Method for Determining an Optimal Path Arrangement of an Infrastructure Link Network

USA ZUKERMAN, Moshe(EE); MORAN, William*; Wang, Qing(EE); WANG, Zengfu*

683 Electromedical Apparatus, a Method for Manufacturing the Electromedical Apparatus and a Method for Deploying the Electromedical Apparatus

USA Pang, Stella W.(EE); XU, Yuanhao(EE)

684 Electromagnetic Device for Manipulating a Magnetic‐Responsive Robotic Device

USA SUN, Dong(BME); LI, Dongfang(BME)

685 Magnetically Controllable Robotic Device And Its Method of Making USA LIAO, Pan(BME); XING, Liuxi(BME); SUN, Dong(BME)686 Imaging And Manipulation of Biologial Sample USA GAO, Wendi(BME); SUN, Dong(BME)687 Entropy‐Stabilized Ceramic Thin Film Coating, Method for Preparing The 

Same, And Component Coated with The SameUSA and others LI, Yangyang(MSE); LU, Jian(MNE); BIAN, haidong(MNE); LI, Zebiao(MSE); 

YANG, Yong(MNE); HE, Quanfeng(MNE)688 Dual‐band Antenna for Global Positioning System USA REN, Jian(EE); SUN, Yuxiang(EE); LEUNG, Kwok Wa(EE)689 An Electrical Energy Storage Device and a Method of Preparing the Same USA ZHI, Chunyi(MSE); Liu, Zhuoxin(MSE); TANG, Zi Jie(MSE); LI, Hongfei(MSE)690 Wideband Doherty High Efficiency Power Amplifier USA ZHOU, Xin Yu(EE); Zheng, Shao Yong*; HO, Derek(MSE); CHAN, Wing 

Shing(EE)691 Metallic Structure And A Method for Surface Treatment of A Metallic 

StructureUSA and others LU, Jian(MNE); LI, Yangyang(MSE); ZHOU, Binbin(MNE); SHEN, Junda(MSE)

Page 20: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

692 An Energy Storage Device, an Electrode for an Energy Storage Device, and a Method of Fabricating the Electrode

USA ZHI, Chunyi(MSE); TANG, Zi Jie(MSE); LIANG, Guojin(MSE); WANG, Donghong(MSE)

694 Substrate‐Integrated Device And Method for Making The Same USA LEUNG, Kwok Wa(EE); LEE, Wai Ki(EE); KREMER, Hauke Ingolf(EE)695 Multi‐band Antenna USA SUN, Yuxiang(EE); REN, Jian(EE); LEUNG, Kwok Wa(EE)696 Dielectric Reflectarray Antenna And Method for Making The Same USA LEUNG, Kwok Wa(EE); SUN, Yuxiang(EE)697 Systems and Methods for Creating Haptic Proxies for Use in Virtual Reality USA HAN, Feng*; CHEN, Taizhou(SM); WU, Yi‐Shiun*; ZHU, Kening(SM)

698 Torque Measurement System USA YANG, Zhengbao(MNE)699 An Energy Storage Device and a Method of Preparing The Device USA MA, Longtao(MSE); LI, Xinliang(MSE); YANG, Qi(MSE); ZHI, Chunyi(MSE)700 A facile method for the large area synthesis of geometrically two dimensional 

metals and ceramicsUSA WANG, Tianyu(MNE); DING, Zhaoyi(MBE); HE, Quanfeng(MNE); YANG, 

Yong(MNE)701 High‐entropy Lattice achieved by 3D printing International 

Bureau of the WIPO

ZHOU, Wenzhao(RSZ); Fan, Rong(MNE); LU, Yang(MNE)

703 PIM1 Inhibitors for Use in Treatment of Viral Infection And Pharmaceutical Compositions Thereof

International Bureau of the WIPO

HE, Ming Liang(BMS); CHEN, Ying(BMS); Zhou, Fanghang(BMS); WAN, Qianya(BMS)

705 Hybrid Heat Pump System USA WU, Wei(E2)706 Hybrid Heat Pump System USA WU, Wei(E2)707 Hybrid Heat Pump System USA WU, Wei(E2)708 Method of Preparing Mechanoluminescent Material And Composite Material 

Containing ItUSA DU, Yangyang(MSE); ZHAO, Jianxiong(MSE); Sun, Tian Ying(CHEM); WANG, 

Feng(MSE)709 Three‐dimensional Sketching in Mobile Augmented Reality USA FU, Hongbo(SM); KWAN, Kin Chung(SM)710 System and Method for Counting Objects USA ZHANG, Qi(CS); CHAN, Antoni Bert(CS)711 Recombinant Cell And Method of Producing Itaconic Acid USA LIM, Chee Kent(E2); LEE, Patrick Kwan Hon(E2)712 一种超分子聚合物、自修复疏油涂层及其制备方法与应用 China YI, Bo(BMS); YAO, Xi(BMS)715 Catalyst And A Wastewater Treatment Method USA JIA, Zhe(MNE); WANG, Qing(MNE); LU, Jian(MNE)716 Fluidic Apparatus for Detection of A Chemical Substance, A Biosensor And A 

Method of Fabricating The Fluidic ApparatusUSA Zhu, Shuyan(EE); Pang, Stella W.(EE)

717 衬底上形成具有间隙等离子体纳米材料的方法、传感器 China XU, Chen(MSE); NG, Siu Pang*; QIU, Guangyu(MSE); WU, Chi Man Lawrence(MSE)

718 An Energy Storage Device And An Electrode for An Energy Storage Device USA ZHI, Chunyi(MSE); ZHAO, Yuwei(MSE); TANG, Zi Jie(MSE); MA, Longtao(MSE)

719 Electrical Energy Storage Device, An Electrolyte for Use In An Electrical Energy Storage Device, And A Method of Preparing The Device

USA MA, Longtao(MSE); TANG, Zi Jie(MSE); MO, Fu Nian(MSE); ZHI, Chunyi(MSE)

720 Planar Complementary Antenna And Related Antenna Array USA LUK, Kwai Man(EE); ZENG, Jingtao(EE)

Page 21: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

721 Methods of Preventing Or Treating Flavivirus Virus Infections And Methods Of Inhibiting The Entry of Flvivirus, Enterovirus Or Lentivirus into Host Cells

USA and others HUANG, Lihong(BMS); Yue, Jianbo(BMS)

722 一种粒子堆垛型生物胶黏剂及其制备与应用 China HOU, Changshun(VM); YAO, Xi(BMS)723 Video Quality Determination System And Method USA ZHANG, Yun(CS); KWONG, Tak Wu Sam(CS)724 Modified Protein And Method for Altering Genome of Cell USA SHI, Jiahai(BMS); Zheng, Zongli(BMS); TAN, Yuanyan(BMS)725 Dielectric Resonator Antenna USA LI, Weiwei(EE); YANG, Nan(EE); LEUNG, Kwok Wa(EE)728 Searching Electronic Documents Based on Example‐based Search Query USA CHEN, Hailiang*; ZHAO, Jianliang(IS); XU, Rui Yun(IS)729 Neurostimulation System And Method for Modulating Abnormal Motor 

MovementUSA MA, Chi Him Eddie(NS); TIN, Chung(BME); KUMAR, Gajendra(NS)

731 A Method of Constructing A Micromechanical Device USA GAO, Libo(MNE); FAN, Su Feng(MNE); WANG, Yue Jiao(MNE); LU, Yang(MNE)

732 Antenna And Related Communication Device USA FENG, Li Ying(EE); LEUNG, Kwok Wa(EE)734 一种电动汽车车载充电系统 China LIU, ChunHua(E2); XIAO, Yang(E2)735 一种电动汽车充电系统 China XIAO, Yang(E2); LIU, ChunHua(E2)736 D‐Glucose And Its Analogs as Tracers to Assess The Glucose Transporter 

Function on Blood‐cerebropinal Fluid Barrier And The Functioning of The Brain Lymphatic System

PCT CHAN, Wai Yan, Kannie(BME); HUANG, Jianpan(BME); VAN ZIJL, Peter C.M.*; XU, Jiadi*

737 Wireless Communication System And A Precoder Device for Use in Such System

USA KOK, Chi Wah(EE); TAM, Wing Shan(EE); CHAN, Wai Ming(EE); SO, Hing Cheung(EE)

739 Electrochemical Detector USA VELLAISAMY, A. L. Roy(MSE); LAU, Chi Kong Terrence(BMS); SAW, LIN OO(MSE)

740 An Electronic Memory Device And A Method of Manipulating The Electronic Memory Device

USA IRFAN, Muhammad(EE); ULLAH, Zahid*; CHEUNG, Chak Chung Ray(EE)

741 Crack Engineering as A New Route for The Construction of Arbitrary Hierarchical Architectures

USA ONG, Beng*; SUN, Han*; CHAN, Chiu Wing*; LI, Wanbo*; LI, Zeyu*; REN, Kangning*; WU, Hongkai*; YAO, Shuhuai*; WANG, Zuankai(MNE)

743 Electron Gun And Apparatus Incoporating The Same USA CHEN, Fu Rong(MSE); LI, Pei En*; TSENG, Ying Shuo*; HSUEH, Yu Chun(MSE)

744 Device for Providing Electrons And Making for Making The Same USA HSUEH, Yu Chun(MSE); LI, Pei En*; TSENG, Ying Shuo*; CHEN, Fu Rong(MSE); WU, Kai Wen*

745 Imaging Apparatus And Related Control Unit USA CHEN, Fu Rong(MSE); LI, Pei En*; TSENG, Ying Shuo*746 A Material for Use in A Battery, A Battery And A Method of Manufacturing A 

Material for Use in A BatteryUSA LEE, Pui Kit(E2); TAN, Tian(E2); YU, Yau Wai Denis(E2)

747 Supramolecular Polymer Composition And Method of Preparation Thereof USA and others YAO, Xi(BMS); LU, Gang(BMS)748 Method for Treating A Surface of A Metallic Structure USA OU, Weihui(MNE); ZHAO, Chenghao(MSE); ZHOU, Binbin(MNE); SHEN, 

Junda(MSE); LI, Yangyang(MSE); LU, Jian(MNE)

Page 22: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

749 A Compound for Use in An Optoelectronic Device Including A Heterojunction USA XU, Zhengtao(CHEM); JEN, Kwan Yue Alex(MSE); ZHU, Zonglong(CHEM)

750 Filer‐Antenna And Method for Making The Same USA and others LIU, Yan Ting(EE); YANG, Nan(EE); LEUNG, Kwok Wa(EE)751 A System And Method for Monitoring A Device USA LIU, Xin(DS); ZHANG, Zijun(DS)752 Liquid Droplet Powered Electricity Generator USA and others Zheng, Huanxi(MNE); XU, Wanghuai(MNE); WANG, Zuankai(MNE)753 System And Method for Determinging Layout of Wireless Communication 

NetworkUSA WONG, Wing Ming Eric(EE); LIU, Jianqing(EE)

754 Electrolyte for Use in An Energy Storage Device And Energy Storage Device Using The Same

China TANG, Tiancheng(MSE); TANG, Zi Jie(MSE); MA, Longtao(MSE); ZHI, Chunyi(MSE)

755 Electromechanical Sensor, A Method of Producing Such Sensor And A Wearable Device Including Such Sensor

USA LAI, Wai Chiu King(BME); LI, Xiaoting(BME)

756 A Transmission Device China ZHAO, Hang(E2); LIU, ChunHua(E2)757 Method of Producing An Aptamer And Uses Thereof USA CHAN, Chun Yin(CHEM); Kwok, Chun Kit(CHEM)758 System And Method for Facilitating Data Communication of A Trusted 

Execution EnvironmentUSA DUAN, Hua Yi(CS); WANG, Cong(CS)

759 System And Method for Facilitating Stateful Processing of A Middlebox Module Implemented in A Trusted Execution Enviroment

USA WANG, Cong(CS); DUAN, Hua Yi(CS)

760 Method of Preparing Metal Nitride, Electrocatalyst with The Metal Nitride And Use Thereof

USA LIU, Bin(MSE); ZHANG, Wenjun(MSE)

761 Energy Storage Apparatus And Electrolyte for Use in The Apparatus USA ZHI, Chunyi(MSE); TANG, Zi Jie(MSE); MO, Fu Nian(MSE); LIANG, Guojin(MSE)

762 Method for Manipulating an Energy Storage Device USA TANG, Zi Jie(MSE); GUO, Ying(MSE); YANG, Qi(MSE); ZHI, Chunyi(MSE)766 Methods And Kits for Detecting Exosomal Protein USA WU, Chi Man Lawrence(MSE); NG, Siu Pang(MSE); THAKUR, 

Abhimanyu(NS); QIU, Guangyu(MSE); YANG, Tian(NS); LEE, Youngjin(NS); XU, Chen(MSE)

767 Method of Treating Metastatic Cancer in A Subject with A Protein Inhibitor USA Yue, Jianbo(BMS); YE, Zuodong(BMS); WANG, Dawei(BMS); ZHU, Kaiyuan*; ZHANG, Qingru*

768 System And Method for Detecting A Target Enzyme USA ZHOU, Chuanwen(CHEM); LAM, Hon Wah Michael(CHEM)769 Parallel‐Plate Antenna USA LEUNG, Kwok Wa(EE); LU, Kai(EE)770 Horn Antenna USA LU, Kai(EE); YANG, Nan(EE); LEUNG, Kwok Wa(EE)775 A System And Method for Processing An Image USA KWONG, Tak Wu Sam(CS); LI, Chongyi(CS)776 A Method for Preparing A Nanosheet And A Multilayer Structure USA ZHANG, Jingyang(MNE); WANG, Tianyu(MNE); YANG, Yong(MNE); HE, 

Quanfeng(MNE)777 Eye Movement Analysis with Co‐clustering of Hidden Markov Models 

(EMHMM with Co‐clustering) and with Switching Hidden Markov Models (EMSHMM)

PCT HSIAO, Hui Wen*; CHAN, Antoni Bert(CS)

Page 23: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

778 A System And Method for Generating A Stained Image USA LAU, Condon(PHY); YUAN, Yixuan(EE); CHO, Chi Shing*; CHEUK, Wah*; MA, Wan San Victor*; LAW, Wing Lun*

780 Universal Color Coding System, And A System And A Method of Manipulating Colors Using Such Color Coding System

USA KUO, Way(OP); LI, Han‐lin(MS)

781 Sensor for Liquid Biopsy And Its Method of Making, And Method of Non‐invasive Liquid Biopsy

USA THAKUR, Abhimanyu(NS); LEE, Youngjin(NS); XU, Chen(MSE); NG, Siu Pang(MSE); WU, Chi Man Lawrence(MSE)

782 A Method of Preparing Graphdiyne‐based Material And A Substrate for Use in Such Material Preparation Process

USA GUO, Ying(MSE); YANG, Qi(MSE); TANG, Zi Jie(MSE); ZHI, Chunyi(MSE)

784 Battery And Method of Manufacturing Thereof USA and others CHEN, Ao(MSE); TANG, Zi Jie(MSE); ZHI, Chunyi(MSE)785 A Fluid Treatment Apparatus USA AN, Alicia Kyoungjin(E2); CHOI, Paula Jungwon(E2)786 Method and Composition for Inhibiting the Growth of Pseudomonas Syringae 

on a Plant Material, and Kit for Treating the SameUSA and others DENG, Xin(BMS); XIE, Yingpeng(BMS); SHAO, Xiaolong(BMS); WANG, 

Tingting(BMS)787 Prevention and Treatment of Ocular Infection, Composition and Methods 

ThereofUSA CHAN, Elaine*; YEUNG, Man Lung*; TANG, Ying*; LAU, Kar Pui Susanna*; 

TENG, Lee Lee*; WOO, Chiu Yat Patrick*; LAU, Chi Kong Terrence(BMS)

788 An Energy Harvester And A Wearable Device USA and others WANG, Biao(MNE); YANG, Zhengbao(MNE)789 Determination of Gain Characterisstics of A Circularly‐polarized Antenna USA DING, Chen(EE); LUK, Kwai Man(EE)790 Determination of Gain Characteristics of A Lineraly‐polarized Antenna USA LUK, Kwai Man(EE); DING, Chen(EE)791 Determining Flow Speed Based on Photoacoustic Imaging And Sensing USA LIU, Chao(BME); WANG, Lidai(BME)795 An Oscillator Circuit USA and others SHUM, Kam Man(SKMW); CHAN, Chi Hou(EE); ZHOU, Haifeng(SKMW)796 Method And Composition for Inhibiting Growth of Bacterium USA LAU, Chi Kong Terrence(BMS); LAW, Oi Kwan(BMS)797 An Antenna And Methods of Fabricating The Antenna And A Resonaor of The 

AntennaUSA LI, Yuanlong(EE); Pang, Stella W.(EE); Zhu, Shuyan(EE); LUK, Kwai Man(EE)

798 Infrastrucure Link Path Arragement Determination Method And System USA WANG, Xinyu(EE); LEUNG, Fu Chu(EE); TAHCHI, Elias*; WANG, Zengfu*; Wang, Qing(EE); MORAN, William*; ZUKERMAN, Moshe(EE)

799 Seismic Hazard Determination Method And System USA ZUKERMAN, Moshe(EE); MORAN, William*; Wang, Qing(EE); Wang, Gang*; WANG, Zengfu*; SUN, Yanni(EE); WANG, Xinyu(EE); WANG, Yu(CA)

800 A Method for Preparing A Solar Cell And A Solar Cell USA and others LU, Jian(MNE); PAN, Lulu(MNE); ZHAO, Jinjin*; HAO, Weizhong*; SU, Xiao*; YI, Shenghui(RSZ)

803 Wideband Omnidirectional Dielectric Resonator Antenna USA XIA, Zhen‐Xing(EE); LU, Kai(EE); LEUNG, Kwok Wa(EE)804 Broadbeam Dielectric Resonator Antenna USA LEUNG, Kwok Wa(EE); XIA, Zhen‐Xing(EE)805 Route Network Planning for Drone Logistics in Urban Environment USA HE, Fang(SEEM); HE, Xinyu(SEEM); ZHANG, Lei*; LI, Lishuai(SEEM)806 Grid Based Path Search Method for UAV Delivery operations in Urban 

EnvironmentUSA LI, Lishuai(SEEM); ZHANG, Lei*; HE, Fang(SEEM)

807 Substrate Integrated Waveguide Fed Antenna USA WANG, Manting(EE); CHAN, Chi Hou(EE)

Page 24: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

808 A Method of Fabrication An Array of Plasmonic Structures, A Biosensor And A Method of Fabricating Biosensor

USA Pang, Stella W.(EE); Zhu, Shuyan(EE)

811 Platinum Complex, Its Preparation And Therapeutic Use USA Xu, Zou Feng(CHEM); ZHOU, Qiyuan(CHEM); ZHU, Guangyu(CHEM)812 AIE Molecule for Detecting Potential Bloodstains And Application Thereof China YE, Ruquan(CHEM); TANG, Ben Zhong*; WANG, Zhaoyu*814 Preparation of Nanoparticles Using Modified Ice‐Template USA LO, Ming‐Fai(MSE); WAN, Yingpeng(CHEM); HUANG, Zhongming(CHEM); LI, 

Shengliang(CHEM); LEE, Chun Sing(CHEM)817 3D Focus‐Steering Lens Antenna USA WU, GengBo(EE); CHAN, Ka Fai(EE); CHAN, Chi Hou(EE)818 On‐chip Antenna And On‐chip Antenna Array USA CHAN, Chi Hou(EE); SHUM, Kam Man(SKMW); KONG, Shang Cheng(EE)819 Self‐filtering Wideband Millimeter Wave Antenna USA HU, Haotao(EE); CHAN, Chi Hou(EE)821 Method for Determining Long Chain Non‐Coding Ribonucleic Acid Interaction 

ProteinsUSA ZHANG, Liang(BMS); FAN, Ligang(BMS); YAN, Jian(BMS); LI, Jingyu(BMS); YI, 

Wenkai(BMS)822 Compound And A Method for Identifying A Protein Using Said Compound USA ZHANG, Liang(BMS); XIE, Yusheng(CHEM); WANG, Rui(BMS); SUN, Hong 

Yan(CHEM)823 Chemical Probes And Methods of Use Thereof USA XIE, Yusheng(CHEM); WANG, Rui(BMS); ZHANG, Liang(BMS); SUN, Hong 

Yan(CHEM)824 Self‐regenerated Hybrid Dehumidifier with Air Purification USA HO, Tsz Chung(E2); TSO, Chi Yan(E2); CHEN, Siru(E2); LEE, Hau Him(E2)828 Drug And GEne Therapy to Treat High Myopia And Other Ocular Disorders 

with Enlarged Eye GlobesUSA XIONG, Wenjun(BMS); MAI, Shuyi(VM)

830 An Electrolyte for An Energy Storage Apparatus And The Energy Storage Apparatus Comprising The Electrolyte

USA ZHI, Chunyi(MSE); HUANG, Zhaodong(MSE); LI, Xinliang(MSE); LIANG, Guojin(MSE)

831 An Apparatus for Processing Air in An Indoor Environment USA LAI, Chi Keung(CA)832 A Disinfecting Sanitary System for Inactivating Airborne Pathogens within A 

Sanitary DeviceUSA LAI, Chi Keung(CA)

833 Therapeutic potentials of glycopyrrolate and mexiletine for treating nervous system inqury

USA AU, Ngan Pan Bennett(NS); MA, Chi Him Eddie(NS)

834 A Method for Fabricating A Cathode Material for Use in An Energy Storage Device

USA ZHU, He(PHY); LIU, Qi(PHY)

835 Bank‐selective Power Efficient Ternary Content‐addressable Memory USA IRFAN, Muhammad(EE); ULLAH, Zahid*; CHEUNG, Chak Chung Ray(EE)836 Power Amplifier USA CHEN, Shichang*; FENG, Wen Jie*; ZHOU, Xin Yu(EE); CHAN, Wing 

Shing(EE)837 Method for Arranging Base Stations in A Communication Network USA ZHANG, Yue(EE); WONG, Wing Ming Eric(EE); DAI, Lin(EE)838 Power Amplifier USA HO, Derek(MSE); CHAN, Wing Shing(EE); ZHOU, Liheng(EE); ZHOU, Xin 

Yu(EE)840 Innovative Construction Safety Auditing System of Construction Safety Audit 

with Blockchain (CSBA2020)Hong Kong FUNG, Wing Hong(CA); OR, Siu Hong(CA); WONG, Chun Kit(CA)

841 一種多功能吸收式蓄能型太陽能混合動力熱泵及運行方法 China WU, Wei(E2)

Page 25: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

842 An Alloy And A Method of Preparing The Same USA SHUANG, Shuo(MNE); CHUNG, Dukhyun(MNE); YANG, Yong(MNE)843 Apparatus And Method of Processing Audio Signals USA CAPPOTTO, Drew(NS); SCHNUPP, Jan(NS)844 An Apparatus And A Method for Patterning Biological Cell USA FAN, Lei(BME); LUO, Tao(BME); SUN, Dong(BME)847 Method for Determining Wireless Communication Network Layout USA DONG, Miaomiao(EE); Wu, Jingjin Timothy(EE); WONG, Wing Ming Eric(EE)

848 Flexible Piezoceramic Composites and Method for Fabricating Thereof USA YANG, Zhengbao(MNE); HONG, Ying(MNE)849 Method And System for Manufacturing A Structure USA LIU, Chain‐tsuan(EG); ZHANG, Tianlong(MSE)853 Electrolyte Composition And Method of Use Thereof USA WU, Zhongzhen*; LIU, Liangliang(PHY); FU, King Yu Ricky(PHY); CHU, Kim 

Ho Paul(PHY)854 Artificial Neural Network Configuration And Deployment USA CUI, Yufei(CS); LIU, Ziquan(CS); YAO, Wuguannan(MA); LI, Qiao(CS); KUO, 

Tei‐wei(CS); CHAN, Antoni Bert(CS); XUE, Chun Jason(CS)855 Energy Generation And Energy Recycling USA WANG, Steven(MNE); WANG, Hongbo(MNE)857 Microchannel Heat Sink and Related Device USA JIANG, Xingchi(MNE); ZHANG, Shiwei(MNE); PAN, Chin(MNE)858 Magnetically‐drivable Microrobot USA and others ZHANG, Yachao(BME); WEI, Tanyong(BME); LI, Dongfang(BME); WANG, 

Lidai(BME); CHEN, Shuxun(BME); SUN, Dong(BME)859 Low‐dose Radiation Therapy for Traumatic Brain Injury And Stroke USA MA, Chi Him Eddie(NS); AU, Ngan Pan Bennett(NS)861 Highly effective heat dissipation architecture  even on the ultrahigh 

temperature of more than 1000 CelsiusUSA WANG, Yang(MNE); JIANG, Mengnan(MNE); WANG, Zuankai(MNE)

867 An Apparatus for Applying A Chemical Substance Through A Skin Surface for Scar Management Applications

USA XU, Chenjie(BME)

869 An Apparatus for Transdermal Delivery of Bioactive Therapeutic Agents And A Method of Fabricating The Same

USA XU, Chenjie(BME); CHANG, Hao(BME); CHEN, Peng*

870 Targeting Mitochondrial Dynamics by Mitochondrial Fusion Promoter M1 as A Treatment Strategy for Nervous System Injury

USA AU, Ngan Pan Bennett(NS); MA, Chi Him Eddie(NS)

871 Heat‐driven vacuum dehumidification System USA LEE, Chun Kwong(BST); FONG, Kwong Fai Square(BST)872 A Boron Carbide‐based Structure And A Method for Fabricating A Aerogel 

FoamUSA LEE, Chun Sing(CHEM); ZHAO, Qi(CHEM); LO, Ming‐Fai(MSE); LI, 

Shengliang(CHEM)875 A Method for Evaluating Popularity of Contents on A Social Media Network, 

And A System And Method for Compressing Multiple Images Based on The Evaluated Popularity

USA WANG, Shiqi(CS); DING, Keyan(CS); MA, Kede(CS)

877 Method of Preparing A Ceramic Structure USA WANG, Hao(MNE); LU, Jian(MNE); XU, Zhengtao(CHEM); LI, Yangyang(MSE)

878 Cell Mechanical Properties Determination USA REN, Jifeng(BME); LAM, Hiu‐wai Raymond(BME)879 A Method of Fabricating A Metal Oxide Nanostructure And An Environmental 

Sensor DeviceUSA WANG, Ying(MSE); HO, Derek(MSE)

Page 26: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

880 A Battery And A Method for Fitting A Electrolyte‐containing Solid Medium to An Electrode in The Battery

USA ZHI, Chunyi(MSE); MA, Longtao(MSE)

881 Method of Fabricating A Material for Use in Catalytic Reactions USA MA, Feixiang(MNE); LU, Jian(MNE); LI, Yangyang(MSE)882 A Power Amplifier Circuit USA CHAN, Wing Shing(EE); ZHOU, Xin Yu(EE)883 Power Amplifier Circuit USA ZHOU, Xin Yu(EE); CHAN, Wing Shing(EE)884 Method of Preparing A Ceramic Structure And Precursor for Producing A 

Ceramic StructureUSA LU, Jian(MNE); LI, Yangyang(MSE); XU, Zhengtao(CHEM); ZHANG, 

Guobin(MNE); DU, Peng(MSE); ZHONG, Jing(MSE)887 一种抗微生物兼具检測效果的半导体涂层及其制备方法和用途 China WANG, Guomin(PHY); TANG, Kaiwei(PHY); MENG, Zheyi*; WANG, Huaiyu*; 

CHU, Kim Ho Paul(PHY)890 Water‐actuating woolen knit pores for thermoregulation USA HU, Jinlian(BME); IQBAL, Mohammad Irfan*893 An Antenna USA GUO, Qingyi(EE); WONG, Hang(EE)894 RFID‐Based Sensing Method And System USA LI, Hanxiong(SEEM); CHUNG, Shu Hung Henry(EE); ZHANG, XU(EE)895 An Electronic Sensing Apparatus USA HE, Jiahui(BME); YU, Xinge(BME)896 Microfluidic Device And Method of Preparing A Cell Model for Disease 

Associated with CancerUSA KHOO, Bee Luan(BME); CHUA, Song Lin*; DENG, Yanlin(BME)

898 一种强化型多模式多效吸收式蓄能释能装置及其运行方法 China WU, Wei(E2); DING, Zhixiong(E2)899 Photonic Device Structure And Method of Manufacturing The Same, And 

Electro‐optic WaveguideUSA LUO, Jingdong(CHEM); CHIANG, Kin Seng(EE)

901 Radiative Cooling Paint And Method for Covering A Surface with The Radiative Cooling Paint

Hong Kong LIN, Kaixin(E2); BAI, Shengxi(E2); CHAO, Luke Christopher(E2); TSO, Chi Yan(E2); LEE, Hau Him(E2); CHEN, Siru(E2); HO, Tsz Chung(E2)

902 A Robotic Device USA SHEN, Yajing(BME); SHI, Jiahai(BMS); Yang, Xiong(BME); WANG, Panbing(BMS)

903 A Zinc‐Selenium Battery Compatible with Both Organic And Aqueous Electrolytes

USA CHEN, Ze(MSE); YANG, Qi(MSE); LI, Xinliang(MSE); ZHI, Chunyi(MSE)

904 Iridium Complex, Nitrogen‐containing Tridentate Carbene Chelate, And Organic Light‐emitting Diode

USA and others CHI, Yun*; CHI, Yun(MSE)

905 Near Infrared Emitting Materials and Organic Light Emitting Diodes Thereof USA and others CHI, Yun(MSE); CHI, Yun*

906 An Electronic Interface Device USA YU, Xinge(BME); LIU, Yiming(BME); YIU, Chun Ki(BME)908 A Composite Material And A Method of Fabrication The Same USA LIU, Sai(E2); LEE, Hau Him(E2); HO, Tsz Chung(E2); TSO, Chi Yan(E2)909 基于草图的人脸图像生成方法及糸統 China SU, Wanchao(SM); GAO, Lin*; FU, Hongbo(SM)910 Novel Radial Gradient in‐situ Fibrous‐Bed Bioreactors (RadGrad‐isFBB) with 

Cellulose in Combination with Biodegradable Hydrogels for The Growth of Multiple Strains of Aerobic and Anaerobic Human Gut Microbes

Australia METTU, Srinivas*; HATHI, Zubeen(E2); ROY CHOUDHURY, Namita*; DUTTA, Naba*; LIN, Sze Ki Carol(E2)

911 Method for converting inanimate object to small‐scale robot on‐demand USA Yang, Xiong(BME); SHEN, Yajing(BME)

Page 27: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

912 An Artificial Zinc Fluoride Solid Electrolyte Interlayer Enabled Commercial‐Level Aqueous Zn Metal Batteries

USA MA, Longtao(MSE); ZHI, Chunyi(MSE)

913 A Composite Material And A Water Purifying System USA LI, Shengliang(CHEM); WAN, Yingpeng(CHEM); HUANG, Zhongming(CHEM); LEE, Chun Sing(CHEM)

915 A Compound And A Method of Inhibiting Growth And Prolifreation of Cancer Cells or Bacteria Using The Same

USA XIAO, Yafang(CHEM); CHEN, Wencheng(CHEM); LI, Shengliang(CHEM); LEE, Chun Sing(CHEM)

916 A System And Method for Generating A Stained Image USA HUI, Tik Ho(PHY); CHEN, Zhen(EE); MARASHLI, Mohamad Ali(PHY); PANI, Anupam(EE); HILL, Fraser(VDL); LAU, Condon(PHY); YUAN, Yixuan(EE); LAW, Wing Lun(PHY); CHO, Chi Shing*; CHEUK, Wah*

918 An Electromechanical Sensor And A Method of Sensing An Object or A Tactile Input Using The Sensor

USA YAN, Youcan(BME); PAN, Jia*; SHEN, Yajing(BME)

919 Zn Power/Sn coated Cu current collector as anode for Zn Battery USA LI, Qing(MSE); ZHI, Chunyi(MSE)923 A Photonic Device And A Terahertz Signal Generator USA WANG, Cheng(EE); YANG, Jingwei(EE)924 An Electrical Power Generator And A Self‐powered System Including Such 

Power GeneratorUSA WANG, Zuankai(MNE); LING, Chen(MNE); YAO, Xiaoxue(MNE); WONG, Yat 

Hei(MNE); WANG, Steven(MNE)925 Microfluidics for label‐free detection of pathogen‐infected phagocytes USA LIAO, Junchen(BME); KHOO, Bee Luan(BME); CHUA, Song Lin*926 一种基于液态金属的柔性导电复合材料及其制备方法 China HUANG, Xin(BMS); CAO, Chunyan(BMS); YAO, Xi(BMS)927 A System And Method for Processing A Stream of Images USA CHEN, Yi(CS); WANG, Shiqi(CS); KWONG, Tak Wu Sam(CS)928 Method of Manufacturing A Curved Ceramic Structure USA SHAN, Yao(MNE); YANG, Zhengbao(MNE)930 Development of cyclized L‐RNA aptamer and application thereof USA Kwok, Chun Kit(CHEM); JI, Danyang(CHEM)931 图像处理方法及装置 China SUN, Long*; WANG, Shiqi(CS)932 A Method of Promoting Antitumor or Anticancer Immunity USA ZHANG, Qingru*; YE, Zhudong*; PENG, Wang(VM); Yue, Jianbo(BMS)933 Relayed nuclear Overhauser effect imaging identifies multiple sclerosis and 

other myelin‐related neurological disordersUSA XU, Jiadi*; HUANG, Jianpan(BME); CHAN, Wai Yan, Kannie(BME)

935 High Entropy Alloy, Method of Preparation And Use of The Same USA WANG, Hang(MNE); HE, Quanfeng(MNE); YANG, Yong(MNE); WANG, Tianyu(MNE)

936 Surface Modified Red Blood Cells And Methods Of Generating The Same Singapore PENG, Boya*; LE, Thi Nguyet Minh*; JAYASINGHE, Migara Kavishka*; SHI, Jiahai(BMS)

937 A Human‐interface Device And A Guiding Apparatus for A Visually Impaired User Including Such Huan‐interface Device

USA ZHU, Kening(SM); NASSER, Arshad(SM)

938 Method for Labile Zn(II) Detection by an Adenine Deficient Yeast Based on Zn(II) Directed Autofluorescence Increase

USA WANG, Wen‐Xiong(E2); SUN, Anqi*

939 An Electrical Circuit for Reducing Electromagnetic Noise or Interference in A Power Converter

USA WANG, Kewei(EE); CHUNG, Shu Hung Henry(EE)

940 Articulated Actuated Finger with Self‐locking Joints USA SUN, Dong(BME); HU, Qiqiang(BME)941 Anti‐bacteria And Anti‐viral, Smart Facemask USA YE, Ruquan(CHEM); HUANG, Libei(CHEM)

Page 28: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

942 Methods And Compositions for Production of Green Mortar USA LAU, Tak Bun Denvid(CA); HAO, huali(CA)943 Leaky‐Wave Antenna USA CHAN, Ka Fai(EE); WU, GengBo(EE); CHAN, Chi Hou(EE)944 Method of Stable Lasso Model Structure Learning to Build Inferential Sensors USA QIN, Si Zhao(DS); LIU, Yiren(DS)

945 A Human‐interface‐device (HID) And A Method for Controlling An Electronic Device Based on Gestures, And A Virtual‐reality (VR) Head‐mounted Display Apparatus

USA XU, Lantian(SM); XU, Xianshan(EE); CHEN, Taizhou(SM); ZHU, Kening(SM)

946 System And Method for Adaptively Streaming Video USA WEI, Xuekai(CS); ZHOU, Mingliang(CS); KWONG, Tak Wu Sam(CS)947 Video Streaming Method And System USA WEI, Xuekai(CS); ZHOU, Mingliang(CS); KWONG, Tak Wu Sam(CS)950 A Coronavirus SARS‐COV2 Vaccine And A Method of Producing The Same USA KIM, Dal Young(PH); TAN, Lu(PH)962 Motor USA LIU, ChunHua(E2); HUANG, Rundong(E2); SONG, Zaixin(E2)964 Model Predictive Control of a Single‐phase Three‐level T‐type quasi Z‐source 

InverterUSA LIU, Yuxin(E2); LIU, ChunHua(E2)

965 一种检测装置及检测方法 China NG, Ka Ki(BMS); AU‐YEUNG, Allan Sung King(BMS); YANG, Mengsu Michael(BMS); AYELE, Bereket Workalemahu(BMS); GUNAWAN, Renardi(BMS)

966 Omnidirectional Dielectric Resonator Antenna USA LIU, Xi‐Yao(EE); YANG, Nan(EE); LEUNG, Kwok Wa(EE)967 Horizontally Polarized Dual‐band Omnidirectional Antenna USA LEUNG, Kwok Wa(EE); HU, Pengfei(EE); PAN, Yong Mei*; ZHENG, Shao 

Yong*968 A Method of Isolating And Detecting Exosomal Biomarkers of Glioblastoma 

MalignancyUSA LI, Wing Kar(BMS); LEE, Youngjin(NS); THAKUR, Abhimanyu(NS)

969 Methods And Compositions for Synthesis of Two‐photon Cleavable Phosphoramidite Molecules for Oligonucleotide Conjugation

USA TAM, Dick Yan(CHEM); LIU, Ling Sum(CHEM); LO, Pik Kwan Peggy(CHEM)

970 充电桩的充电电路结构 China LIU, Qi(PHY); LAN, Si*972 Cathode Material USA ZHI, Chunyi(MSE); LI, Xinliang(MSE); HUANG, Zhaodong(MSE); CHEN, 

Ze(MSE)973 Mxene‐cladded Zinc Particles And An Electrode Thereof USA HUANG, Zhaodong(MSE); LI, Xinliang(MSE); YANG, Qi(MSE); ZHI, 

Chunyi(MSE)974 Rutheium Arene Schiff‐base (RuTEN) Complexes Uses And Methods for 

Treating CancerUSA BABAK, Maria(CHEM); ANG, Wee Han*; CHOW, Mun Juinn*

975 A Method of Surface Chemical Functionalization for Developing of Functional Hydrophobic Surfaces

USA XIAO, Yelan(CHEM); KO, Chi Chiu(CHEM)

976 Phosphors Based on Carbene Metal Complex USA YUAN, Yi(MSE); CHI, Yun(MSE); YOU, Caifa(MSE)981 一种锂离子电池低温电解液及锂离子电池 China LUO, Dong(PHY); LIU, Qi(PHY)982 Tri‐frequency Multi‐polarisation Omnidirectional Antenna USA HU, Pengfei(EE); LEUNG, Kwok Wa(EE)983 An Iridium Complex And A Light Emitting Device Comprising The Same USA JIN, Jibiao(MSE); GAN, Lin(MSE); CHI, Yun(MSE)

Page 29: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

987 Secure Web Framework USA DU, Yuefeng(CS); WANG, Cong(CS); Wang, XinYu(CS)988 Microfluidic Platform for Infection Models USA LIU, Yang*; CHUA, Song Lin*; KHOO, Bee Luan(BME)989 Automated System for High‐Throughput Microinjection of Adherent Cells USA PAN, Fei(BME); JIAO, Yang(BME); SUN, Dong(BME); CHEN, Shuxun(BME)

990 A MULTI‐LAYER PARTICLE‐EMBEDDED PASSIVE RADIATIVE COOLING PAINT Hong Kong DU, Yuwei(E2); ZENG, Yijun(E2); ZHU, Yihao(E2); CHAO, Luke Christopher(E2); LIN, Kaixin(E2); TSO, Chi Yan(E2); CHEN, Siru(E2); LEE, Hau Him(E2); HO, Tsz Chung(E2)

993 Near‐infrared Photoactivatable Platinum(IV) Photo‐oxidants, Preparation Thereof And Therapeutic Use Thereof

USA ZHU, Guangyu(CHEM); DENG, Zhiqin(CHEM)

995 Self‐powered stretchable sweat sensors USA HUANG, Xingcan(BME); LIU, Yiming(BME); YU, Xinge(BME)996 Method And Systems for Genome Sequence Compression China WANG, Shiqi(CS); SUN, Zhenhao(CS); KWONG, Tak Wu Sam(CS); WANG, 

Meng(CS)997 A Signage Structure Monitoring System And A Signage Structure Monitoring 

PlatformHong Kong CHENG, Chi Yung(ACE); OR, Siu Hong(CA); FUNG, Wing Hong(CA)

999 A Compact Membrane‐based Absorption Heat Pump USA WU, Wei(E2); DING, Zhixiong(E2); ZHAI, Chong(E2); SUI, Zengguang(E2); SUI, Yunren(E2)

1000 A Method for Preparation of Single‐layer Rhenium Disulfide Nanoflake And Ultraviolet Modulated Wrinkle Pattern Structure

USA HUANG, Lingli(CHEM); CHEN, Honglin(CHEM); LY, Thuc Hue(CHEM)

1001 Novel Compositional Complex Zr‐based Bulk Metallic Glasses with Good Mechanical Properties And Thermal Stability

USA ZHOU, Ziqing(MNE); ZHANG, Zhibo(MNE); YANG, Yong(MNE); HE, Quanfeng(MNE)

1002 Stretchable and Wearable Wireless 3‐leads ECG Monitoring Device USA ZHOU, Jingkun(BME); LIU, Yiming(BME); YU, Xinge(BME)1005 Fast‐track Negative Pressure Isolation System for Respiratory Infectious 

Disease ControlUSA WANG, Steven(MNE); LING, Chen(MNE); CHAN, Chak Keung(E2); DENG, 

Wei(MNE); WANG, Zuankai(MNE)1011 Methods for Synthesizing Metal‐carbon Composite of A Core‐shell Structure USA PARK, Minhyuk(MNE); YU, Qing(MNE); ZHANG, Jingyang(MNE); WANG, 

Tianyu(MNE); YANG, Yong(MNE)1013 Multimode Haptic Patch And Multimodal Haptic Feedback Interface USA HUANG, Ya(BME); YU, Xinge(BME)1020 Method for Forming Piezoelectric Films on Surfaces of Arbitrary Morphologies USA YANG, Zhengbao(MNE); LIU, Shiyuan(MNE)

1026 Cable Path Planning Method And Apparatus USA TAHCHI, Elias*; WANG, Zengfu*; WANG, Xinyu(EE); ZUKERMAN, Moshe(EE)

DEPT  NAMEAC (AC) Department of AccountancyACE (ACE) Architecture and Civil Engineering Research CentreAERC (AERC) Ability R&D Energy Research CentreAP (AP) Department of Physics and Materials Science

Page 30: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

BC (BC) Department of Building and ConstructionBCH (BCH) Department of Biology and ChemistryBME (BME) Department of Biomedical EngineeringBMS (BMS) Department of Biomedical SciencesBST (BST) Division of Building Science and TechnologyCA (CA) Department of Architecture and Civil EngineeringCAH (CAH) Department of Chinese and HistoryCB (CB) College of BusinessCHEM (CHEM) Department of ChemistryCS (CS) Department of Computer ScienceCSCR (CSCR) Centre for Smart Energy Conversion and Utilization ResearchCTL (CTL) Department of Chinese, Translation and LinguisticsCUC (CUC) Council SecretariatDS (DS) School of Data ScienceE2 (E2) School of Energy and EnvironmentEDGE (EDGE) Office of Education Development and Gateway EducationEE (EE) Department of Electrical EngineeringEF (EF) Department of Economics and FinanceEG (EG) College of EngineeringIS (IS) Department of Information SystemsLT (LT) Department of Linguistics and TranslationMA (MA) Department of MathematicsMBE (MBE) Department of Mechanical and Biomedical EngineeringMEEM (MEEM) Department of Manufacturing Engineering and Engineering 

ManagementMNE (MNE) Department of Mechanical EngineeringMS (MS) Department of Management SciencesMSE (MSE) Department of Materials Science and EngineeringNPMM

(NPMM) Hong Kong Branch of National Precious Metals Material Engineering Research Center

NS (NS) Department of NeuroscienceOP (OP) Office of the PresidentPH (PH) Department of Infectious Diseases and Public HealthPHMC (PHMC) Centre for Prognostics and System Health ManagementPHY (PHY) Department of PhysicsRCW (RCW) Wireless Communications Research Centre

Page 31: IDF PATENT TITLE COUNTRY/REGION INVENTOR ......USA WONG, Shek Duncan(CS); XIONG, Xiaokang(CS) 173 Automatic Lamp Detection Method and Optimal Operation for Fluorescent Lamps USA LEE,

RSZ (RSZ) Shenzhen Research InstituteSEEM (SEEM) Department of Systems Engineering and Engineering ManagementSKMP (SKMP) State Key Laboratory of Marine Pollution (City University of Hong 

Kong)SKMW (SKMW) State Key Laboratory of Terahertz and Millimeter Waves (City 

University of Hong Kong)SM (SM) School of Creative MediaSS (SS) Department of Social and Behavioural SciencesTTO (TTO) Technology Transfer OfficeVDL (VDL) CityU Veterinary Diagnostic Laboratory Company LimitedVM (VM) Jockey Club College of Veterinary Medicine and Life Sciences* Non‐CityU

(updated in 02 Sep , 2021)