11
Instituto Tecnológico de Tijuana Departamento de Computación y Sistemas SUBDIRECCIÓN ACADÉMICA _____________________________________________________________________________ PERIODO: Enero Junio 2012 Carrera: Ingeniería en Sistemas Computacionales Materia: Principios Eléctricos y Aplicación Digital (6SC5C) Tema: Tipos de Diodos Unidad: 1 Integrantes: Flores Lomeli Laura Lorena González Cruz Amy de Los Ángeles Ventura Chacón Abel Nombre del Maestro: M.C Jorge Carlos Rios Aula: 303 Horario: 14:00-15:00

Hdl lenguaje descriptivo de hardware

  • Upload
    lorena

  • View
    155

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Hdl lenguaje descriptivo de hardware

Instituto Tecnológico de Tijuana

Departamento de Computación y Sistemas

SUBDIRECCIÓN ACADÉMICA _____________________________________________________________________________

PERIODO: Enero – Junio 2012

Carrera: Ingeniería en Sistemas Computacionales

Materia: Principios Eléctricos y Aplicación Digital (6SC5C)

Tema: Tipos de Diodos

Unidad: 1

Integrantes:

Flores Lomeli Laura Lorena

González Cruz Amy de Los Ángeles

Ventura Chacón Abel

Nombre del Maestro: M.C Jorge Carlos Rios

Aula: 303 Horario: 14:00-15:00

Page 2: Hdl lenguaje descriptivo de hardware

Instituto Tecnológico de Tijuana

Departamento de Computación y Sistemas

SUBDIRECCIÓN ACADÉMICA _____________________________________________________________________________

INTRODUCCION

Los circuitos de escala de integración media y alta (MSI y LSI respectivamente) se

diseñaron mediante la realización de un prototipo formado por módulos más sencillos y la

comprobación de su funcionamiento antes de proceder a la integración. Esta forma de

diseño recibe el nombre de abajo a arriba (bottom-up) porque se enlazan diversos

módulos para constituir un bloque funcional más complejo. Pero en el caso de los circuitos

integrados de complejidad VLSI y superiores no resulta práctica la realización física de un

prototipo y por ello es necesario simular y verificar su correcto comportamiento antes de

integrarlos.. Así, mediante simulación es posible una rápida detección de errores en fases

tempranas del diseño, resulta factible la reutilización del mismo para diferentes

tecnologías y se pueden utilizar las herramientas de síntesis actuales para obtener

rápidamente un esquema lógico o estructural y, en definitiva, una netlist* de entrada para

el trazado físico (layout) del ASIC, MCM, etc. o la asignación de recursos (mapping) en el

caso de la lógica programable (PLDs y FPGAs).Todo ello, obviamente, incrementa la

productividad y la eficacia del diseño.

Page 3: Hdl lenguaje descriptivo de hardware

Instituto Tecnológico de Tijuana

Departamento de Computación y Sistemas

SUBDIRECCIÓN ACADÉMICA _____________________________________________________________________________

LENGUAJES DE DESCRIPCIÓN DEL HARDWARE (HDL)

Estos lenguajes fueron desarrollados para hacer frente a la creciente complejidad de los diseños.

Se puede hacer una analogía con los que se pueden llamar lenguajes de

descripción de software:

Los HDLs son usados para modelar la arquitectura y comportamiento de sistemas

electrónicos discretos.

• Se utilizan en la fase de diseño

• Necesidad de Simulador lógico

– Herramienta necesaria para reproducir el comportamiento del sistema modelado

– Permite la verificación del sistema diseñado.

Page 4: Hdl lenguaje descriptivo de hardware

Instituto Tecnológico de Tijuana

Departamento de Computación y Sistemas

SUBDIRECCIÓN ACADÉMICA _____________________________________________________________________________

Lenguajes de descripción de hardware (HDLs).

Síntesis lógica

Síntesis lógica: convierte una descripción de un sistema digital mediante un HDL en una

implementación tecnológica.

El hecho de realizar una síntesis lógica obliga a ciertas restricciones en la tarea de

realizar las descripciones con HDLs.

No se pueden utilizar todas las construcciones de los lenguajes, y las que están

permitidas hay que utilizarlas adecuadamente.

Cada uno de los sintetizadores que existen actualmente en el mercado tienen unas

restricciones distintas, si bien, son muy parecidas en cada uno de ellos.

Page 5: Hdl lenguaje descriptivo de hardware

Instituto Tecnológico de Tijuana

Departamento de Computación y Sistemas

SUBDIRECCIÓN ACADÉMICA _____________________________________________________________________________

Ventajas del uso de HLDs

El lenguaje es independiente de la tecnología:

– El mismo modelo puede ser sintetizado en librerías de distintos vendedores.

– Reducción de la dependencia con el fabricante de ASICs, ya que la portabilidad a otra

tecnología es mucho más rápida.

– Reutilizar el diseño en componentes tan distintos como ASICs o FPGAs con un esfuerzo

mínimo.

Soportan tres estilos de descripción básicos:

– Descripción comportamental (behavioral)

– Descripción de flujo de datos (data-flow)

– Descripción estructural (estructural)

Se puede verificar la funcionalidad del diseño muy pronto en el proceso de diseño.

La simulación del diseño a tan alto nivel, antes de la implementación a nivel de puertas,

permite testar la arquitectura y rectificar decisiones en las primeras fases de diseño, con

un esfuerzo mucho menor que si se realizase en fases posteriores.

Soporta modelos de tiempos síncronos y asíncronos.

Posibilidad de implementar distintas técnicas de modelado digital (descripciones de máquinas de estados finitos (FSM), descripciones algorítmicas, redes de Petri, y ecuaciones Booleanas

El lenguaje es público y "not propietary" (especialmente en el caso del VHDL).

Page 6: Hdl lenguaje descriptivo de hardware

Instituto Tecnológico de Tijuana

Departamento de Computación y Sistemas

SUBDIRECCIÓN ACADÉMICA _____________________________________________________________________________

INCONVENIENTES DEL USO DE HDLS

Supone un esfuerzo de aprendizaje, ya que prácticamente se puede considerar como nueva metodología.

Necesaria la adquisición de nuevas herramientas:

– Simuladores – Sintetizadores de HDL, teniendo que mantener el resto de las herramientas para otras fases del Diseño.

El uso de estos lenguajes hace que involuntariamente se pierda un poco de control sobre el aspecto físico del diseño, dándole una mayor importancia a la funcionalidad de dicho diseño.

LENGUAJES DE DESCRIPCIÓN DE HARDWARE

En la actualidad se utilizan fundamentalmente VHDL, Verilog y SystemC . Otro HDL, el UDI/L se utiliza exclusivamente en Japón.

VHDL (Very High Speed Integrated Circuit Hardware Description Language). Nace

como proyecto del Departamento de Defensa (DoD) de EEUU (año 82) para disponer de una herramienta estándar, independiente para la especificación (modelado y/o descripción) y documentación de los sistemas electrónicos. El IEEE lo adopta y estandariza.

Verilog: Sw de la firma Gateway y posteriormente de Cadence. Estándar industrial

hasta que apareció el VHDL como estándar IEEE. En 1990 Cadence lo hace público y el IEEE lo estandariza en 1995.

SystemC: es una extensión del C++, que utiliza unas bibliotecas de clase para

describir y simular circuitos digitales. Se publicó en 1999.

VHDL: CARACTERÍSTICAS GENERALES

VHDL: lenguaje orientado a la descripción o modelado de Hw similar a lenguajes de

alto nivel de propósito general (ADA en especial): de ellos hereda:

Concepto de tipo de datos, con posibilidad de definir nuevos tipos → facilita la descripción de circuitos con diversos niveles de abstracción.

Sentencias de control de flujos (if, for while). Junto con la característica anterior → potencia para desarrollar algoritmos.

Capacidad de estructurar el código (subprogramas, funciones o

procedimiento), permite afrontar algoritmos complejos.

Page 7: Hdl lenguaje descriptivo de hardware

Instituto Tecnológico de Tijuana

Departamento de Computación y Sistemas

SUBDIRECCIÓN ACADÉMICA _____________________________________________________________________________

MODELO DEL HW

Modelo de tiempo: ciclo de simulación

Page 8: Hdl lenguaje descriptivo de hardware

Instituto Tecnológico de Tijuana

Departamento de Computación y Sistemas

SUBDIRECCIÓN ACADÉMICA _____________________________________________________________________________

¿Para qué sirve el VHDL?

SISTEMAS DIGITALES

Page 9: Hdl lenguaje descriptivo de hardware

Instituto Tecnológico de Tijuana

Departamento de Computación y Sistemas

SUBDIRECCIÓN ACADÉMICA _____________________________________________________________________________

PORTS: Puertos de una entidad

PORTS: Modos de un puerto

Una señal que es bidireccional, entrada/salida de la entidad.

Page 10: Hdl lenguaje descriptivo de hardware

Instituto Tecnológico de Tijuana

Departamento de Computación y Sistemas

SUBDIRECCIÓN ACADÉMICA _____________________________________________________________________________

El problema de la concurrencia del HW

Concurrencia: Una posible solución

Page 11: Hdl lenguaje descriptivo de hardware

Instituto Tecnológico de Tijuana

Departamento de Computación y Sistemas

SUBDIRECCIÓN ACADÉMICA _____________________________________________________________________________

REFERENCIAS

http://arantxa.ii.uam.es/~jgonzale/fco/curso08-09/download/seminarios-vhdl.pdf

http://dspace.ups.edu.ec/bitstream/123456789/40/7/Capitulo1.pdf