Flip-chip Rpad Final Onecolumn

Embed Size (px)

Citation preview

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    1/23

    AbstractA compact IR-drop model for on-chip power distribution networks in array and wire-bonded ICs is analyzed.

    Chip dimensions, size and location of supply pads, metal coverage, piecewise distribution of IC consumption and the

    resistance between pads and power supply are considered to obtain closed-form expressions for the IR-drop. The IR-drop

    model was validated by comparing its results with electrical simulations. The obtained error is in the range of 1 %.

    Index TermsIntegrated circuit modeling, Power distribution networks, Power supply noise, IR-drop.

    I. INTRODUCTION

    O ensure a good supply voltage throughout the IC, and for the high consumption and density ICs available in

    current technologies, the on-chip power distribution network (PDN) is usually organized as a grid of wide parallel

    wires in the two or more upper metal layers covering the IC surface. Connection to the package is currently made

    by two approaches: the so-calledperipheral bonding, in which the supply pads are distributed along the sides of the

    IC, and array bonding, where the supply pads are distributed in an array over the whole IC surface, in a flip-chippackage.

    The PDN behaves as a conductive mesh with resistive, inductive and capacitive properties. As a consequence, the

    electric current spikes produced during circuit activity are transformed into voltage bounces at the supply terminals of

    internal circuits. This power supply noise (PSN) has several undesirable effects on the performance and reliability of

    ICs [20]. A good PDN design is therefore necessary to reduce the PSN below a specified value. The PSN can be

    roughly divided into static and dynamic. Static PSN, or IR-drop, is the voltage drop caused by the DC supply current

    in the PDN resistances, whereas dynamic PSN is due to transients exciting the PDN inductances and capacitances. The

    analysis of the IR-drop is important [7] [15] [20] because it allows addressing the most important issues in PDN

    design, i.e. width and pitch of PDN wires [10-14][18] and size, number and location of pads [6][8][10-11][17-19].When a dynamic analysis of the PSN is required, there are additional important issues to solve, such as the impact of

    on-chip PDN inductance [9][16] and the amount and distribution of on-chip decoupling capacitance [16][20].

    The design of a good, reliable on-chip PDN of a digital IC is a very complex task because designers cannot

    anticipate all the details of the design. The PSN depends on the location, size and activity of the circuit blocks.

    Therefore, in order to check that the PSN is below the specified value, it is necessary to simulate the complete circuit,

    which is clearly unfeasible for large ICs. The help of specific CAD tools alleviates this problem. However, due to the

    simulation time, CAD tools are primarily intended for use in post-layout verification, after the design is complete. A

    IR-drop in On-chip Power Distribution

    Networks of ICs with Non-uniform PowerConsumption

    Josep Rius,Member, IEEE

    T

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    2/23

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    3/23

    max

    0.387ln

    2S PAD

    IR

    PAD

    R I aV

    D

    =

    (2)

    where a is the distance between adjacent pads, is a correction factor related to the pad shape, andDPAD is the sidelength of a square pad. The coefficient 0.387 is obtained after numerical calculation of the double and triple infinite

    series and assuming several approximations. This formula puts together the relevant variables in PDN design: the sheet

    resistance of the power grid, RS, which is related to the metal coverage of such grid; the current per pad, IPAD; the pad

    density, which is related to the distance between pads, a, and the pad size, DPAD.

    In the following Sections, we obtain approximate expressions for the IR-drop under more realistic conditions, i.e.

    the current densityJis not constantin the whole IC and/or the PDN is offinite dimensions. Instead of solving equation

    (1) directly, we use several results from potential theory and conformal mapping techniques to find the IR-drop in

    these cases.

    Figure 1. IC with six consuming blocks and an array of power/ground pads.

    At this point, it is appropriate to say that if the sheet resistance RS of the PDN is non isotropic, i.e. the sheet

    resistance in the x direction,RSX, and the y direction,RSY, is different, a change in the independent variables x and y

    makes the sheet resistance isotropic at the small price of a change in the PDN dimensions [1]. Hence, our analysis only

    considers the isotropic case, withRSconstant.

    Moreover, our analysis is intended for circular pads but, as shown in [23][1], it can be extended to square pads by

    the concept of a circular pad of equivalent radius having the same resistance to the PDN as the square pad.

    III. IR-DROP IN AN INFINITE PDN

    Let us now attack the following simpler problem: we consider an infinite PDN as a continuous conductive surface

    with constant sheet resistance RS. A single block A of dimensions ab m2 and a constant current density JA/m2 is

    connected to the PDN at an arbitrary place. At another arbitrary point there is a circular pad of radius aP, that supplies

    the currentIPAD = abJrequired byA. A resistanceRpadconnects the pad to the power supply, which is assumed to be at

    a constant voltage V0 = 0. Figure 2 illustrates the geometry of the problem. The IR-drop between the pad (whose

    voltage is Vpad= -JabRpad) and the potential VPat any observation pointPover the PDN is found as follows.

    We denote the distance between the center of the pad and the observation point Pas rPp, and the distance between

    the differential area dxdy insideA and pointPas rPxy. The potential atPis [2]:

    ( ) ( )0 0

    ln ln2 2

    a b

    S SP Pxy Pp

    JR JabRV r dxdy r

    = (3)

    Figure 2. Parameters involved in the analysis of the IR-drop at the observation pointPin an infinite resistive plane

    with one pad and one consuming block.

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    4/23

    Integrals like the one in (3) are well known in engineering electromagnetics. Their explicit solution can be found

    elsewhere [3]. They define the so-called Geometrical Mean Distance (GMD) between a point Pand the rectangular

    blockA, as shown in equation (4):

    ( ) ( )0 0

    ln ln GMDa b

    xy Pr dxdy ab= (4)

    Now, equation (3) can be written as

    GMDln

    2S P

    P

    Pp

    JR abV

    r= (5)

    If point P is at a distance aP from the center of the pad, i.e. at any point of its circumference, then the followingequalities hold:

    , , GMD GMDPp P P pad P padr a V V = = (6)

    where GMDpad is the Geometrical Mean Distance from the center of the pad toA, which is assumed the same as the

    distance from the circumference of the pad to A provided that the pad radius aP is small with respect to the block

    dimensions.

    Now the complete IR-drop,VP, between the power supply and pointPbecomes

    GMDln

    2 GMDpad PpS

    P pad

    P P

    rJR abV JabR

    a

    = +

    (7)

    Let us now generalize this result forNpads.

    A. Multiple pads

    Imagine the same blockA andNcircular pads, PAD1, PAD2 PADN, of radius aP1, aP2, aPN, and equal resistances

    Rpad, distributed on an infinite PDN. It is assumed that the pads are widely separated; that is, the distances between

    them are much greater than their radius, rij >> (aPi, aPj). Figure 3 shows the involved geometry.

    Figure 3. Parameters involved in the analysis of the IR-drop at the observation pointPin an infinite resistive plane

    with multiple pads and one consuming block.

    Each pad supplies a fraction of the total current drawn byA. Thus,

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    5/23

    1

    , 1N

    PADi i i

    i

    I Jab =

    = = (8)

    Now we can write

    ( ) ( )

    ( ) ( )

    11

    22

    ln GMD ln2 2

    ln ... ln2 2

    S SP P P

    S N SP PN

    JabR JabRV r

    JabR JabRr r

    =

    (9)

    That is,

    1

    GMDln

    2S P

    P N

    i

    iPi

    JabRV

    r

    =

    =

    (10)

    where GMDP is the Geometrical Mean Distance between pointPand blockA, and rPi is the distance between pointPand pad i, which supplies the fraction i of the total current.

    By applying the above principle, we can find the IR-drop between pointPand the pad voltage. To do so, we place

    pointPat a distance aPi from the center of pad i, i.e. at its circumference. Thus, the following equalities hold:

    1 1 2 2

    GMD GMD

    , , ..., , ...,

    P pad

    P

    P i P i Pi Pi PN iN

    ipad i

    i

    V V JabR

    r r r r r a r r

    = =

    = = = =

    (11)

    By grouping together all the terms in i, we obtain the following set ofNequations, one for each value ofi, withN

    unknowns (the values of):

    ln GMD ln ln 2 0,

    1,2...

    Npad

    Pi

    S

    i j ij ij i

    Rr a

    R

    i N

    =

    =

    (12)

    SuchNequations are not linearly independent because of (8). However, we can subtract each equation in (12) from

    its predecessor and build N-1 equations. These, together with equation (8) form a system ofN linearly independent

    equations withNunknowns, as shown in equation (13).

    1, 1

    1

    , 1

    1 1, 1,1

    ln ln 2 ln 2 ln

    1

    Npad padPi

    i j

    S P i S

    N

    i

    i

    iji iii

    j ii i i i jj i

    rR r Ra

    r R a R r

    +

    +

    =

    +

    + + + +

    = + + +

    =

    GMD

    GMD

    (13)

    This system can be written in matrix form as

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    6/23

    1, 1 1,1 12

    21 2 2, 1 2,

    2, 1 2,21 2

    31 32 3, 1 3,

    1,1 1,2 , 1 1,

    1 2 , 1

    ln 2 ln 2 ln ln

    ln ln 2 ln ln

    ln ln ln 2 ln 2

    1 1 1 1

    pad pad N NP

    S P S N N

    pad N NP

    S N N

    pad padN N P N N N

    N N N N S PN S

    R R r ra r

    r R a R r r

    R r rr a

    r r R r r

    R Rr r a r

    r r r R a R

    +

    +

    L

    L

    L L L L L

    L

    L

    2

    1

    1 3

    22

    1

    ln

    ln

    ln

    1

    NN

    N

    =

    GMD

    GMD

    GMD

    GMD

    GMDGMD

    LM

    and in compact form as

    =M B (14)

    where M is anNNmatrix, and and B are column vectors ofNelements. Now, vector can be easily calculated

    with equation (15):

    = -1 M B (15)

    and theNelements of are the coefficients we are looking for. As a simple example, ifN= 2, the explicit result is

    2

    11

    12

    2

    12

    12

    GMDln

    GMD1 12 2 ln 2

    GMDln

    GMD1 12 2 ln 2

    pad

    P S

    pad

    P S

    Rr

    a R

    Rr

    a R

    = +

    +

    =

    +

    (16)

    B. Completing the solutionThe total IR-drop,VP, between the power supply and point Pcan be calculated as the sum of the voltage drop at

    theRpad of a reference pad plus the IR-drop from this pad to point P. As any pad can be selected as the reference, we

    choose pad 1. Thus, the formula forVPbecomes

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    7/23

    1

    11

    1

    1 11

    GMDln

    2 GMD

    N

    SP padN

    P

    j

    j

    j

    P

    Pj

    jj

    rJabR

    V JabR

    a r

    =

    = +

    (17)

    which reduces to equation (7) ifN= 1.

    As can be seen, the problem of finding the IR-drop at any point of an infinite PDN having one consuming block and

    Npads is solved if the fraction of the current supplied by each pad (coefficients ) is known.

    Because of the linearity of the problem, it is easy to generalize equation (17) for M blocks by applying

    superposition. Thus, the previous procedure is repeated M times, one for each block, to calculate vectors 1, 2, ,

    M. Then, the total IR-drop at any point is found by summing the contribution of each block: (total) 1M

    P PjjV V

    = = .

    C. Flexibility and generality of (17)Under the above assumptions, equation (17) gives the IR-drop at any point of a PDN with a sheet resistance RS, a

    number Nof circular pads of radius aP and resistance to power supply Rpad, and one block of dimensions ab with a

    current density J. Note that under the assumption ofinfinite dimension for the PDN, formula (17) is fully flexible,

    which allows deciding on the size and location of the consuming block, and the number, radius and location of pads.

    As will be shown in Section V, the IR-drop VP as calculated from (17) provides a very good approximation of the

    real IR-drop offinite PDNs if the consuming block is not very close to the external borders of the pad array, i.e. the IC

    sides.

    Equation (17) can also be used to calculate the maximum IR-drop under the same conditions as those analyzed by

    Shakeri in [1]. In this paper, the maximum IR-drop (which is placed at the center of the square formed by four pads) is

    given by formula (2), where the numerical coefficient is known after a long calculation of several double and triple

    Fourier series and assuming several approximations. The interested reader may read [1] for details. As will be shown

    here, equation (2) can be derived from our formula (17) when the latter is applied to this particular case.

    Let us consider the square consuming block in Figure 4, which is embedded in an infinite PDN with a sheet

    resistance RS. In this example,Rpad= 0. The side length of the block is 2a, which is twice the distance between adjacent

    pads. It has four circular pads with the same radius aP symmetrically distributed in the block. Note that this geometry

    reproduces the scenario studied by Shakeri, except that in this case the consuming block is finite. Let us now use

    formula (17) to calculate VPat its center, i.e. the point marked with in Figure 4.

    Figure 4. Calculation of the IR-drop at the center of a square. Four pads and one square consuming block. Infinite resistive plane.

    In these conditions, formula (17) becomes

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    8/23

    31 2 4

    31 2 4

    31 2 4

    31 2 4

    21 1 2 3 4

    12 13 14

    421 1 2 3 4

    12 13 14

    4 GMDln

    2 GMD

    GMD

    ln2 GMD

    S X X X X X

    X P

    S X X X X

    X P

    Ja R r r r rV

    a r r r

    Ja R r r r r

    a r r r

    =

    =

    (18)

    Due to the particular symmetry of the figure, equation (18) becomes

    41 12 2 4

    11 18 4

    GMD 2ln

    2GMD 2 2

    0.3797ln

    2

    SX

    X P

    PAD S

    P

    Ja R aV

    a

    I R a

    a

    =

    =

    (19)

    where GMD1 and GMDX are calculated as functions ofa from the solution of equation (4), according to [4]. This

    result is very close to Shakeris formula (2). Now, to reproduce the case in [1], we increase the size of the block andthe number of pads, as shown in Figure 5.

    Figure 5. Shakeris problem. [1]: calculat ion of the IR-drop at the center of a square. The number of pads and the area of the square consuming

    block tend to infinity.

    In this way, we obtain an asymptotic equation for VXby generalizing equation (18):

    ln2

    PAD S

    XP

    I R coef aV

    a

    =

    (20)

    We check the coefficient of formula (20) for different numbersNof symmetrically distributed pads. The results are

    shown in Table I.

    TABLE I. Coefficient coefof formula (20) as a function of number of pads in Figure 5.

    N Calculated coef

    4 0.3797

    16 0.3810

    36 0.381364 0.3814

    100 0.3814

    As can be seen, whenNincreases, the numerical coefficient coeftends to a definite value which is very close to that

    reported by Shakeri in [1].

    It is worth pointing out that the method to obtain the numerical coefficient of equation (2) presented in our paper is

    much simpler than that in [1] and gives practically the same results under the same conditions. In addition, it is much

    more flexible and can be applied to a variety of cases because it does not impose any restriction on the number, size or

    symmetry of the distribution of consuming blocks and pads.

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    9/23

    IV. IR-DROP IN A FINITE PDN

    In the previous Section, we made the strong assumption of a PDN of infinite extension. Here, we remove this

    assumption because it gives erroneous results in the estimation of the IR-drop when the consuming blocks are close to

    the IC sides. In fact, on-chip PDNs are on top of dies offinite dimensions, L units wide andHunits high. Let us nowextend the results of Section III to obtain the IR-drop for such PDNs. This extension is based on the conformal

    transformation of the interior of a rectangle in a complex plane Z into the upper half of another complex plane W.

    Conformal transformation is a mathematical technique that uses functions of complex variables to map complicated

    boundaries into simpler, more readily analyzed configurations [4]. After the problem is solved in the transformed

    configuration, inverting these functions allows coming back to the original geometry. This technique is restricted to

    two-dimensional fields satisfying Laplaces or Poissons equation, as in our case, and has been successfully applied to

    many engineering problems. A good summary of the technique and its applications can be read, for instance, in the

    first chapter of [4].

    It is well known [4] that the Jacobi elliptic function w =sn(z,k) maps the interior of a rectangle with vertices K,K,

    K+jK, -K+jK in the complex planeZinto the upper half of the complex plane W. Here,j = sqrt(-1) andKandK are

    complete elliptic integrals of the first and second kind related to the dimensions of the rectangle; the modulus kof the

    elliptic functions can be calculated as follows [5]:2

    2

    3

    k

    =

    (21)

    where 2 and 3 are elliptic theta functions of the second and third kind with zero argument. These functions are

    calculated as follows [5]:2

    2

    20

    30

    122

    1 2

    n

    n

    n

    n

    L

    H

    q

    q

    q e

    =

    =

    +

    =

    = +

    =

    (22)

    With this transformation, the side L/2,L/2 of the rectangle in planeZbecomes the segment -1, 1 of the real axis of

    plane W. The sideL/2,L/2+jHof the rectangle becomes the segment 1, 1/kof the real axis of plane W, whereas the side

    L/2, -L/2+jHbecomes the segment -1, -1/k, and the side L/2+jH,L/2+jHbecomes the rest of the real axis of plane W

    [4]. A sketch of the transformation showing the lines of constantx and,y is shown in Figures 6A and 6B.

    Figure 6. (A) A square PDN withL = 1,H= 1, nine pads (circles) and a rectangular block (thick line), represented in plane Z. (B) The same PDN,

    pads and block, represented in plane W. Dashed lines are the lines of constantx, andy in planeZ(constant u and v in plane W).

    Figure 6A shows a square PDN withL = 1 andH= 1. This PDN has 9 identical pads identified by black circles. The

    top and bottom sides of the square are drawn in black and the left and right sides in gray. This square is mapped in

    planeZwith its origin at the center of the bottom side. The transformation w =sn(z,k) maps pointsz= x +jy of the

    interior of this square into points w = u +jv of the upper half ofW, as drawn in Figure 6B. Thus, the origin of plane W

    is also the origin of plane Z and point jK in Z is transformed into the infinity point in W. The size of pads is also

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    10/23

    modified, being greater in W when they are far from the real axis and smaller when they are close to the real axis.

    Notice that the points of the real axis, v = 0 in W, are the transformed points of the four sides of the rectangle in plane

    Z.

    The current at the four sides of the PDN (the four sides of the rectangle in Z) is zero. Therefore, the real axis of

    plane Wmust have the same property; that is, the Neumann boundary condition V/n = 0 must be satisfied in the real

    axis ofW. To force this condition, we need to add to W the image of the upper half-plane (that is, the conjugate of

    plane W, conj(W)) including the pads of the original Wdomain at their conjugate coordinates.

    After this step we build the infinite domain W = WU conj(W). By including the current sources in Wand conj(W),

    we can calculate the IR-drop in this infinite domain using the methods in Section III. However, caution must be taken

    when including the current sources (rectangular blocks). Formula (4) for GMD, as derived in [3], is valid only for

    rectangular blocks. Therefore, this solution cannot be used directly in W because a rectangular block inZtransforms

    into a non rectangularfigure in W. Similarly, if pads are circles inZ, in W they take a different shape.

    To overcome these restrictions, we use two results from the theory of conformal mapping [2][4]. The first one is thatthe regions about the corresponding points zand w are infinitesimally similar. This means further that angles between

    intersecting lines in plane Zare preserved between the corresponding lines in plane W[2]. That is, if the circles or

    squares inZare sufficiently small, their transformed images in Ware also circles and squares. The second one is the

    invariance of the Poisson equation under a conformal transformation; in other words, a differential area dxdy at a point

    z Z transforms into a differential area dudv at a point w W with a change of scale equal to f(z)2 and a

    rotation of angle equal to the argument off(z),f(z) being the derivative of the transformationfat pointz. In our case,

    f(z) =sn(z) = cn(z)dn(z), where cn(z) and dn(z) are also Jacobi elliptic functions.

    With these results, the application of the methods in Section III to W,including pads and blocks, becomes possible

    if the radius of pads are small with respect to L andHand if the blocks are small. If the blocks are large, they must be

    divided into small square sub-blocks, and each transformed sub-block in W must be considered as a scaled and

    rotated square, which is the image of the original sub-block inZ.

    Bearing the above in mind, the procedure to find the IR-drop VPat any point of afinite PDN is as follows:

    1) Map the PDN in plane Z into the half-space Wby the transformation w = sn(z). This mapping must include the

    pads with scaled radius.

    2) If necessary, divide the consuming blocks inZinto small sub-blocks, and map them into W, scaling and rotating

    them as required.

    3)

    Add to Wthe conjugate half-plane conj(W) including the transformed pads and blocks (or sub-blocks) in conjugatepositions. We now have the infinite domain W = WU conj(W).

    4) Obtain the IR-drop VP at any point WP W by the method described in Section III for an infinite PDN

    considering allpads and allblocks (including the conjugate ones).

    5) Finally, come back to planeZby using the inverse functionz=sn-1(w,k) and find the potential at pointZP Z . The

    inversion requires calculating an incomplete elliptic integral of the first kind, which is a standard built-in function

    in any computer algebra system.

    V. VALIDATION OF THE RESULTS

    The above method was validated by comparing the calculated IR-drop with electrical simulations of PDNs of array-

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    11/23

    bonded ICs with a range of values of their parameters. The error metric is defined as the normalized difference

    between the value of the maximum IR-drop obtained by the method here described and the value obtained from the

    simulations.

    A. Infinite PDNFirst, we compare the IR-drop predicted from the results of Section III (infinite PDN) with the electrical simulation

    results. Figure 7 illustrates the following case: one consuming block of 12.5 mm2 inside a chip of 1010 mm2 and an

    array of 16 regularly spaced pads of radius 100 m. Here,Rpad= 0.

    Figure 7. IC with an array of 16 pads and a block within the a rray.

    In this case, the consuming block is fully inside the array of pads. As expected, the error in the IR-drop at any place

    (including the location of its maximum) is small, i.e. less than -0.5%.

    However, when the consuming block is at the chip side (that is, totally or partially outside the array of pads), the erroris much greater. This is the case, for example, of Figure 8: one consuming block of 8 mm2 inside a chip of 1010 mm2

    and 4 regularly spaced pads of radius 200 m. Here,Rpad= 0.

    Figure 8. IC with an array of 4 pads and a block at the IC side.

    Now the error is as large as -25%, which is an unacceptable value. Figure 9 shows the IR-drop distribution in the

    electrical simulation (top) and the calculation (bottom). The differences resulting from the assumption of infinite PDN

    are clearly visible.

    Figure 9. Difference of IR-drop on the PDN surface between electrical simulation (top) and calculation (bottom) when an infinite PDN is assumed

    in the IC of Figure 8.

    B. Finite rectangular PDN

    To compare our results with simulations of finite PDNs, we defined chips of different sizes and features, including a

    number of pads of different sizes excited by consuming blocks of different sizes at different places and drawing

    different currents.In the HSPICE simulations, the PDN was defined as an array of cells modeling the regular grid of metal segments

    with the same length in the X and Y directions and same width. These interconnected cells form the whole PDN. The

    length of each segment was 100 m and in our simulations the square pads had a side length Dpad of 1, 2 or 3 segment

    lengths. According to the approach of Section II, an appropriate coefficient multiplyingDpad was calculated to obtain

    the equivalent radius of the circular pads with the same resistance to the PDN as the square pads used in the

    simulations. This coefficient depends on the number of segments connected to the square pads in horizontal and

    vertical direction. For 1, 2 and 3 segments, its value is 0.7071, 0.6334 and 0.6049, respectively. If the number of

    segments goes to infinity, this coefficient tends asymptotically to 0.5903, which is the value given in [23] and used in

    [1].

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    12/23

    The simplest check of our formulas is the comparison of the maximum IR-drop when the consuming block is the

    whole chip. The results are summarized in Table II, where the first column gives the chip size, the second, the length

    of a side of the square pad and the third, the number of pads. The fourth and fifth columns contain the resistance of a

    line segment, and consequently the sheet resistance of our formulas. The sixth column shows the current density and

    the seventh and eighth give the calculated and simulated maximum IR-drop for each example, respectively. The last

    column contains the error as defined before. In these examples,Rpad= 0.

    TABLE II. IR-drop in ICs where the consumption is constant in the whole chip.

    Chip size

    [mm2]

    Dpad

    [m]

    # pads rsegment

    []

    RS

    [/]

    J

    [mA/mm2]

    Vcalc

    [mV]

    Vsim

    [mV]

    Error

    [%]

    7.27.2 200 9 4.4 2.2 25 100.0 99.3 +0.7

    7.27.2 200 36 2.2 1.1 25 8.23 8.15 +0.98

    2.62.6 100 4 4.4 2.2 25 28.81 28.73 +0.28

    2.62.6 300 4 4.4 2.2 25 15.16 15.01 +1.0

    10.410.4 100 64 2.2 1.1 25 14.40 14.36 +0.3

    10.410.4 300 64 2.2 1.1 25 7.44 7.51 -0.93

    10.410.4 200 16 2.2 1.1 25 61.01 60.61 +0.66

    As can be seen in Table II, in all cases the maximum error is 1%. Interestingly, by applying the result in [1]

    (equation (2)) to the same examples, the error ranges from 2.8 % to 10 %.

    We also checked our results for a non-uniform current distribution with two or more consuming blocks, each one

    drawing a different amount of current. The six examples simulated are illustrated in Figure 10 and their main

    parameters are described in Table III.

    Figure 10. Six examples of ICs with non-uniform current distribution, and different sheet resistance and number of pads.

    Here, examples A, B and C illustrate a chip of 7.27.2 mm2 with 9 pads. Example D is of a chip of the same size but

    with 36 pads, and examples E and F show a chip of 10.410.4 mm2 with 16 pads. The dotted lines in the Figure define

    the contour of the separation between the consuming blocksJ1,J2, and so on. Again, in these examples,Rpad= 0.

    TABLE III. Main parameters of the six examples of the PDN in Figure 10.

    Example Block size

    [mm2]

    rsegment

    []

    RS

    [/]

    J1 [mA/mm2] J2 [mA/mm2]

    A 9.60 4.4 2.2 0 100

    B 5.76 2.2 1.1 0 100

    C 9.60 (*) 2.2 1.1 25 100

    D 9.60 2.2 1.1 0 100

    E 13.52 (*) 2.2 1.1 25 100

    F 13.52, 27.04,

    40.56, 27.04

    2.2 1.1 100 25

    Example J3

    [mA/mm2]

    J4

    [mA/mm2]

    Vcalc

    [mV]

    Vsim

    [mV]

    Error

    [%]

    A - - 195.89 194.80 +0.56

    B - - 100.25 99.60 +0.65

    C - - 120.74 120.3 +0.37

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    13/23

    D - - 28.87 28.42 +1.58

    E - - 146.50 145.64 +0.59

    F 25 100 233.4 235.31 -0.81

    Table III is divided into two parts. The second column in the top part shows the size of the consuming block. Theasterisk (*) for examples C and E indicates that only the size of the smaller consuming block is given; the other block

    is the rest of the chip. The third and fourth columns contain the segment resistance and sheet resistance, respectively.

    The fifth and sixth show the current density of blocks 1 and 2. The second and third columns in the bottom part of the

    Table give the current of blocks 3 and 4. The fourth and fifth contain the calculated and simulated maximum IR-drop

    in mV and finally, the sixth column shows the error, which is below 1% in most cases.

    The influence ofRpadwas investigated by repeating the simulation of example D, but imposingRpad= 50 m. In this

    case, the maximum IR-drop increases to 33.6 mV according to our formulas, and to 33.08 mV in the simulations. Thus,

    the error is again 1.57%. We also checked the calculated voltage drop at each pad Vpad. Table IV shows the results

    for all 36 pads. There, columns 2 and 6 contain the calculated voltage in mV of each pad and columns 3 and 7 the

    simulated one. Columns 4 and 8 are the difference between both results in V.

    TABLE IV. Voltage drop across the resistanceRpadof the 36 pads of example D.

    #### pad Vpad

    [mV]

    (calc)

    Vpad

    [mV]

    (sim)

    Diff.

    [V]

    #### pad Vpad

    [mV]

    (calc)

    Vpad

    [mV]

    (sim)

    Diff.

    [V]

    1 0.0494 0.0648 -15.4 19 0.0338 0.0440 -10.2

    2 0.4851 0.4539 31.2 20 0.3523 0.3912 -38.93 4.4046 4.3620 42.6 21 3.6979 3.6430 54.9

    4 5.6705 5.5930 77.5 22 4.7674 4.6850 82.4

    5 1.2613 1.2850 -23.7 23 0.9624 0.9790 -16.6

    6 0.1269 0.1540 -27.1 24 0.0882 0.1060 -17.8

    7 0.0488 0.0640 -15.2 25 0.0156 0.0210 -5.4

    8 0.4832 0.5360 -52.8 26 0.1326 0.1483 -15.7

    9 4.4003 4.3560 44.3 27 0.7089 0.7208 -11.9

    10 5.6656 5.5860 79.6 28 0.9065 0.9095 -3.0

    11 1.2582 1.2810 -22.8 29 0.2987 0.3072 -8.5

    12 0.1257 0.1530 -27.3 30 0.0386 0.0470 -8.4

    13 0.0457 0.0590 -13.3 31 0.0043 0.0067 -2.4

    14 0.4660 0.5140 -48.0 32 0.0210 0.0279 -6.9

    15 4.3474 4.2940 53.4 33 0.0630 0.0757 -12.7

    16 5.6020 5.5120 90.0 34 0.0757 0.0891 -13.4

    17 1.2274 1.2450 -17.6 35 0.0371 0.0452 -8.1

    18 0.1189 0.1430 -24.1 36 0.0091 0.0130 -3.9

    Finally, Figures 11 and 12 show a view of the IR-drop of example F according to electrical simulations (Figure 11)

    and calculation (Figure 12).

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    14/23

    Figure 11. IR-drop distribution on the surface of the PDN of example F according to electrical simulations.

    Figure 12. IR-drop distribution on the surface of the PDN of example F according to calculation.

    VI.

    DISCUSSIONA cardinal feature of our approach is that knowing the IR-drop at a given point only requires knowing its

    coordinates, chip size, and location of all pads and consuming blocks. This is a great advantage over conventional

    approaches based on the numerical solution of differential equations (i.e. finite element or finite difference methods),

    which require the calculation of the IR-drop at all the points of the PDN surface to know the IR-drop at a given point.

    Thus, our approach makes it possible to obtain a faster response of IR-drop at specific locations. In the case of

    searching the IR-drop at all the points of the PDN surface, then both approaches have a comparable execution time.

    Additionally, the execution time is independent of the size of the consuming block. Let us now sketch the

    computational complexity of the approach. At this point, it is worth mentioning that no effort was made to optimize the

    speed of our calculations, which are actually written as MATLABTM scripts.

    The algorithm can be roughly divided into three phases: (A), building plane W and calculating the location and size

    of pads, blocks (sub-blocks, when required), including their images, on it; (B), executing the core of the algorithm,

    which is in equations (15) and (17); and (C), coming back to planeZ, performing the inverse transformation.

    Phase (A) is extremely fast because it only requires the conformal mapping of a small number of objects, like blocks

    (sub-blocks, when required) and pads, whose number is limited. Its computational load depends on the product of the

    number of pads and the number of blocks (or sub-blocks, when required). In its turn, the computational load of phase

    (C) is linearly proportional to the number of observation points where the IR-drop must be known.

    Phase (B) has the highest computational load. Equation (15) involves:(i)

    building matrixM

    ofN

    N

    elements(where N is the number of pads), each one containing the logarithm of the ratio of the distances between two pads,

    which must be calculated previously; (ii) building vector B ofNelements, each one containing the logarithm of the

    ratio of the GMD of two pads to the block, which must be calculated previously; (iii) inverting matrix M; and (iv)

    multiplying the inverted matrix by B. Actions (i) and (iii) must be done only once, and actions (ii) and (iv) must be

    done only once per block (sub-block). Thus, computational load of phase (B) depends on the number of pads only and

    is independent of the number of points where the IR-drop must be known.

    On the other hand, equation (17) involves the following actions: (i) calculating the ratio between the GMD of the

    reference pad and the product of all the distances between the reference pad and all the pads at the power calculated

    previously in equation (15); (ii) multiplying the distances between the observation point and each pad at the powercalculated previously in equation (15); (iii) calculating the GMD between the observation point for which the IR-drop

    must be known and the consuming block; (iv) dividing the results of action (ii) and action (iii); and finally, (v)

    multiplying the results of actions (i) and (iv) and taking the logarithm. Action (i) can be pre-computed and the result

    reused every time equation (17) is calculated, but actions (ii) to (v) must be executed for every observation point for

    which the IR-drop must be known. The above are operations on scalars, and therefore the computational load increases

    linearly with the number of observation points. For each block (sub-block, when required), equation (17) is executed as

    many times as observation points we define Thus, the computational load depends on the product of the number of

    blocks (sub-blocks) and the number of observation points.

    To give an idea of the execution time, we executed the MATLABTM script on a standard PC with an Intel Q8200

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    15/23

    CPU with a clock frequency of 2.33 GHz and 3 Gbytes of RAM. Only a single core was used in the runs. It is worth

    mentioning here that in the open literature devoted to PDNs and related topics, complex ICs are divided into a few tens

    of functional blocks (see, for instance, [24-25]), of known location, size and average consumption. Therefore, it seems

    reasonable to analyze the execution times for this number of blocks. However, we also present the execution time for

    cases involving a much higher number of blocks (1056). Thus, Table V shows the execution time in seconds for

    several combinations of number of pads, number of blocks and number of observation points. In all cases, the IC size

    is 1010 mm2. All blocks are of 100100 m2 in order to ensure accurate calculation of the IR-drop, and because no

    division into sub-blocks is required.

    TABLE V. Execution time in seconds as a function of number of pads (1, 25 and 100), number of observation points

    (1, 100 and 900) and number of blocks (16, 96, 480 and 1056).

    1 PAD

    Points \ blocks 16 96 480 1056

    1 0.08 0.38 1.85 4.07

    100 0.20 1.12 5.51 12.06

    900 1.12 6.68 33.32 73.16

    25 PAD

    Points \ blocks 16 96 480 1056

    1 0.20 1.15 5.69 12.48

    100 0.46 2.69 13.33 29.30

    900 2.47 14.75 73.75 162.12100 PAD

    Points \ blocks 16 96 480 1056

    1 0.94 5.52 27.47 60.42

    100 1.60 9.52 47.53 104.55

    900 6.96 41.69 208.37 458.30

    Except for the cases of one observation point, a small fraction of the execution time is spent in phases (A) and (C) of

    the algorithm. As mentioned, no attempt was made to optimize the execution time, which can be improved with little

    effort by taking advantage of the parallelizable nature of the algorithm, recoding it in a compiled language and

    adapting it for parallel execution in multi-core processors.

    These execution times, as well as the results of Section IV showing the good agreement between the IR-drop

    calculated with our approach and the results obtained by electrical simulation, demonstrate that our method is useful in

    exploring the trade-offs to optimize the PDN in its early design phase. Parameters like the number, size and

    distribution of pads, metal coverage or distribution of functional blocks can be explored in an interactive way to obtain

    a preliminary view of the consequences of each decision.

    In addition, it is worth pointing out that, although our approach has been described for PDNs in flip-chip packages,

    it can also be used for wire-bonded ICs by placing the pads at the IC periphery instead of over the PDN surface.

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    16/23

    Moreover, in spite of the fact that this paper assumes a PDN with symmetric ground and supply grids, the described

    methodology to get IR-drop can also be applied in non-symmetrical PDNs with power and ground grids with different

    properties and with a different pad distribution.

    VII. CONCLUSION

    This paper analyzes the IR-drop in PDNs of array-bonded ICs. The PDN is modeled as a conductive surface of

    constant sheet resistance. Under this restriction, closed-form expressions to find the fraction of current supplied by

    each pad, given a set of consuming blocks inside the IC, are derived. The number, size and location of pads and

    consuming blocks and the current drawn by each block are arbitrary. Closed-form expressions to find the IR-drop at

    any point of a finite PDN of array-bonded ICs having any number of pads are also given. The IC power is consumed

    by rectangular blocks of any size, placed in any location and drawing an arbitrary DC current. The effect of theresistance between the IC pads and the power supply is also included in the model. As particular cases, the

    methodology proposed for the calculation of pad current and IR-drop is also valid for wire-bonded ICs and non-

    symmetrical PDNs. The analytical expressions were validated with electrical simulations. The maximum error found is

    in the range of 1 %. The execution time using a single core of an Intel Q8200 CPU, running a MATLAB TM script with

    a clock frequency of 2.33 GHz and 3 Gbytes of RAM, is of 0.46 seconds for the calculation of the IR-drop at 100

    observation points of a PDN of 1010 mm2, with 25 supply pads, and 16 consuming blocks. For the same PDN, with

    100 supply pads, 1056 consuming blocks and 900 points for which the IR-drop must be known, the execution time is

    458 seconds.

    REFERENCES

    [1] K. Shakeri, J.D. Meindl, Compact Physical IR-Drop Models for Chip/Package Co-Design of Gigascale Integration (GSI), IEEE

    Transactions on Electron Devices, Vol. 52, No. 6, June 2005, pp. 1987-1096.

    [2] E. Weber,Electromagnetic Fields. Theory and Applications. Vol I- Mapping of Fields, Wiley, 1950.

    [3] T.J. Higgins, Formulas for the Geometric Mean Distances of Rectangular Areas and of Line Segments,Journal of Applied Physics, Vol. 14,

    April 1943, pp. 188-195.

    [4] R. Schinzinger, P.A. Laura, Conformal Mapping. Methods and Applications, Dover, 2003.

    [5] E.T. Whittaker, G.N. Watson, A course of Modern Analysis, 4th edition, Cambridge University Press, 1927.

    [6] Jingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan, VLSI On-Chip Power/Ground Network OptimizationConsidering Decap Leakage Currents,Proceedings of ASP-DAC 2005, pp. 735-738.

    [7] A. Dubey, P/G pad placement optimization: problem formulation for best IR-drop, Proceedings of the Sixth International Symposium on

    Quality Electronic Design (ISQED05), May 2005, pp. 340-345

    [8] T. Sato, M. Hashimoto, H. Onodera, Successive pad assignment algorithm to optimize number and location of power supply pad using

    incremental matrix inversion,Proceedings of ASP-DAC 2005, pp. 723-728.

    [9] N. Srivastava, X. Qi, K. Banerjee, Impact of On-chip Inductance on Power Distribution Network Design for Nanometer Scale Integrated

    circuits,Proceedings of sixth International Symposium of Quality of Electronic Design, (ISQED05), March 2005, pp. 346-351

    [10] P. Gupta, A.B. Kahng, Efficient Design and Analysis of Robust Power Distribution Meshes, Proceedings of the 19th International

    Conference on VLSI Design, (VLSID06), pp. 337-342

    [11] D.E. Khalil, Y. Ismail, Optimum Sizing of Power Grids for IR Drop,Proceedings of ISCAS 2006, September 2006, pp. 481-484

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    17/23

    [12] X. Wang, Y. Cai, X. Hong, S.X.-D. Tan, Optimal Wire Sizing for Early Stage Power/Ground Grid Planning, Proceedings of International

    Conference on Communications, Circuits and Systems, June 2006, pp. 2406-2410

    [13] R. Bhooshan, Novel and Efficient IR-Drop Models for Designing Power Distribution Network for Sub-100nm Integrated Circuits,

    Proceedings of the 8th International Symposium on Quality Electronic Design (ISQED'07), March 2007, pp. 287-292

    [14]

    R. Bhooshan , B.P. Rao, Optimum IR Drop Models for Estimation of Metal Resource Requirements for Power Distribution Network,Proceedings of 2007 IFIP International Conference on Very Large Scale Integration (VLSI-SoC 2007), October 2007, pp.292-295

    [15] M. Eireiner, S. Henzler, T. Missal, J. Berthold, D. Schmitt-Landsiedel, Power supply network design: a case study driven approach,

    Advances in Radio Science., Vol. 5, 2007, pp.279284

    [16] S. Pant, D. Blaauw, E. Chiprout, Power Grid Physics and Implications for CAD,IEEE Design & Test of Computers, Vol. 24, no. 3, May

    June 2007, pp. 246-254

    [17] Y. Zhong, M.D.F. Wong, Fast Placement Optimization of Power Supply Pads, Proceedings of 2007 Asia and South-Pacific Design

    Automation Conference, January 2007, pp. 763-767

    [18] G. Huang, A. Naeemi, T. Zhou, D. OConnor, A. Muszynski, B. Singh, D. Becker, J. Venuto, J. D. Meindl, Compact Physical Models for

    Chip and Package Power and Ground Distribution Networks for Gigascale Integration (GSI), Proceedings of 2008 Electronic Components

    and Technology Conference, May 2008, pp. 646-651

    [19] C-H. Lu, H-M. Chen, Ch-N. J. Liu, W-Y. Shih, Package Routability- and IR-Drop-Aware Finger/Pad Assignment in Chip-Package Co-Design,Proceedings of DATE09, April 2009, pp. 845-850

    [20] M. Popovich, A.V. Mezhiba, E.G. Friedman,Power distribution networks with on-chip decoupling capacitors, Springer, 2008

    [21] E. Chiprout, Fast flip-chip power grid analysis via locality and grid shells, Proceedings of 2004 International Conference on Computer

    Aided Design (ICCAD04), November 2004, pp. 485-488

    [22] H. Chen, C-K Cheng, A.B. Khang, Q. Wang, M. Mori, Optimal Planning for Mesh-Based Power Distribution, Proceedings of the Design

    Automation Conference, 2004, pp. 444-449.

    [23] Y.T. Lo, A note on the cylindrical antenna of non circular cross section,Journal of Applied Physics, Vol. 24, May 1953, pp. 1338-1339.

    [24] M.D. Pant, P. Pant, D. Scott Wills, On-Chip Decoupling Capacitor Optimization Using Architectural Level Prediction, IEEE Transactions

    on Very Large Scale Integration, Vol. 10, No. 3, June 2002, pp. 319-326

    [25] M. S. Gupta, J. L. Oatley, R. Joseph, G-Y. Wei, D.M. Brooks, Understanding Voltage Variations in Chip Multiprocessors using a

    Distributed Power-Delivery Network, Proceedings of Design, Automation & Test in Europe Conference, DATE07, April 2007, pp. 1-6

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    18/23

    0V

    n

    =

    x

    y

    J1J5

    J3

    J2J4

    J6

    0V

    n

    =

    0V

    n

    =

    0V

    n

    =

    0V

    n

    =

    x

    y

    J1J5

    J3

    J2J4

    J6

    0V

    n

    =

    0V

    n

    =

    0V

    n

    =

    Figure 1

    a

    b

    PAD

    observationpoint P

    rpp

    rpxy

    dxdy

    A

    ap

    Rpad

    V0

    a

    b

    PAD

    observationpoint P

    rpp

    rpxy

    dxdy

    A

    ap

    Rpad

    V0

    Figure 2

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    19/23

    a

    b

    PAD1

    observationpoint P

    rP1

    rpxy

    dxdy

    A

    PAD2

    PAD3

    rP2

    rP3PADN

    rPN

    ap2

    apN

    ap1ap3

    Rpad

    V0 Rpad

    V0

    Rpad

    V0

    Rpad

    V0

    a

    b

    PAD1

    observationpoint P

    rP1

    rpxy

    dxdy

    A

    PAD2

    PAD3

    rP2

    rP3PADN

    rPN

    ap2

    apN

    ap1ap3

    Rpad

    V0

    Rpad

    V0 Rpad

    V0

    Rpad

    V0

    Rpad

    V0

    Rpad

    V0

    Rpad

    V0

    Rpad

    V0

    Figure 3

    X

    a

    a

    2a

    aPX

    a

    a

    2a

    aP

    Figure 4

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    20/23

    4 pads

    16 pads

    36 pads

    64 pads

    X

    4 pads

    16 pads

    36 pads

    64 pads

    X

    Figure 5

    -0.6 -0.4 -0.2 0 0.2 0.4 0.60

    0.1

    0.2

    0.3

    0.4

    0.5

    0.6

    0.7

    0.8

    0.9

    1

    x

    jy

    -0.6 -0.4 -0.2 0 0.2 0.4 0.60

    0.1

    0.2

    0.3

    0.4

    0.5

    0.6

    0.7

    0.8

    0.9

    1

    x

    jy

    (A)

    -8 -6 -4 -2 0 2 4 6 80

    2

    4

    6

    8

    10

    12

    14

    16

    jv

    u

    -8 -6 -4 -2 0 2 4 6 80

    2

    4

    6

    8

    10

    12

    14

    16

    jv

    u

    (B)

    Figure 6

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    21/23

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    .

    Figure 7

    Figure 8

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    22/23

    Figure 9

    A B C

    D E F

    J1

    J2

    J1

    J2

    J1

    J2

    J1

    J2

    J1

    J2

    J1J2

    J3 J4

    A B C

    D E F

    J1

    J2

    J1

    J2

    J1

    J2

    J1

    J2

    J1

    J2

    J1J2

    J3 J4

    Figure 10

  • 7/31/2019 Flip-chip Rpad Final Onecolumn

    23/23

    Figure 11

    Figure 12