33
Jan van Schoot 1 , Koen van Ingen-Schenau 1 , Gerardo Bottiglieri 1 , Kars Troost 1 , John Zimmerman 2 , Sascha Migura 3 , Bernhard Kneer 3 , Jens Timo Neumann 3 , Winfried Kaiser 3 7 October 2015, EUVL 2015, Maastricht EUV High-NA scanner and mask optimization for sub 8 nm resolution Public 1 ASML Veldhoven, The Netherlands 2 ASML Wilton, CT, USA 3 Carl Zeiss Oberkochen, Germany

EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

  • Upload
    others

  • View
    2

  • Download
    1

Embed Size (px)

Citation preview

Page 1: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars Troost1, John Zimmerman2,

Sascha Migura3 , Bernhard Kneer3, Jens Timo Neumann3, Winfried Kaiser3

7 October 2015, EUVL 2015, Maastricht

EUV High-NA scanner and mask optimization for sub 8 nm resolution

Public

1 ASML Veldhoven, The Netherlands 2 ASML Wilton, CT, USA

3 Carl Zeiss Oberkochen, Germany

Page 2: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Under study

Resolution [nm] 32 27 22 16 13 10 7 <7

layo

ut NA 0.25 0.33

>0.5NA

13.5

Lens flare 8% 6% 4%

Illumination Flex-OAI s=0.8 Extended Flex-OAI

reduced pupil fill ratio

0.33NA DPT

s=0.5 s=0.2-0.9 coherence

Wavelength [nm]

4.0 7 3.0 DCO [nm]

MMO [nm] 7.0 - 5.0

1.4 1.5 1.2

2.0 2.5 1.7

pupil fill ratio defined as the

bright fraction of the pupil Overlay

10 5 15 Dose [mJ/cm2]

Power [W] 10 - 105 3 80 - 250

20 20

250 250 TPT (300mm)

Throughput [W/hr] 6 - 60 - 50 - 125 125 125

20

500

165

2.0 - 1.7 CDU [nm] 1.1 1.3 1.0 Imaging

1.0

1.4

0.9

NXE technology roadmap - Extendibility

Extend NA 0.33

to below 10nm

Improved lens

and illuminator

performance

Imaging / Overlay

performance

match node

requirements

Increased

throughput at

higher dose

29 September 2015

Slide 2

Public

Page 3: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

0.55NA

0.33NA

High-NA EUV enables ~2 nodes of shrink

for random cut mask applications

Simulation conditions:

• Conventional illumination

• No SMO applied

• Selection of cut mask features

• NA gain shown for minimum pitch

~2 nodes

𝐶𝐷 = 𝑘1λ

𝑁𝐴

Rayleigh

29 September 2015

Slide 3

Public

Page 4: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Summary

• The EUV roadmap can be extended economically with High-NA

• Anamorphic optical concept resulting in half-field imaging using 6” masks

• Throughputs > 150WpH

• Further optimization of the anamorphic concept is under study

• Optimizing NILS, MEEF, dose and mask 3D effects for relevant use cases

• Facilitating adoption by minimizing impact on the mask supply chain

29 September 2015

Slide 4

Public

Page 5: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Agenda

• High-NA EUV lithography

• Design challenges

• Mask optimization

29 September 2015

Slide 5

Public

Page 6: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

High-NA EUV lithography • Imaging: new concept needed

29 September 2015

Slide 6

Public

Page 7: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

EUV Optical Train

intermediate focus

collector

Reticle (mask)

wafer

plasma

illuminator

field facet mirror

pupil facet mirror

projection

optics

source

9 July 2013, Sematech Workshop on High-NA, Winfried Kaiser, Jan van Schoot

diffraction orders

0th 1st

-1st

Mask = grating

Larger NA lens to

capture the orders:

larger cone

0th 1st

-1st

29 September 2015

Slide 7

Public

Page 8: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Image contrast increases with a larger magnification But only needed in one orientation

NXE:3300

requirement

0

1

2

3

4 5 6 7 8

Magnification

Horizontal Lines

13 nm L/S, 0.33 NA (k1=0.318)

NIL

S*

8 nm L/S, 0.55 NA (k1=0.326)

High-NA tool

*NILS = Normalized Image Log Slope,

measure for image contrast

4 5 6 7 8

Magnification

Vertical Lines

NIL

S*

0

1

2

3

13 nm L/S, 0.33 NA (k1=0.318)

8 nm L/S, 0.55 NA (k1=0.326)

Anamorphic

magnification needed

for High-NA

29 September 2015

Slide 8

Public

Page 9: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Mask

Wafer

Lens

High-NA Anamorphic Lens prints a half field By utilizing the current 6” mask

Mask

field

size

Wafer

field

size

104 mm

13

2 m

m

4x

26 mm

33

mm

4x Conventional lens

Full Field (FF)

HF

26 mm

16

.5 m

m

New

Half Field (HF)

QF

104 mm

13

2 m

m

4x/8x

Note: rectangular slit shown for illustration purposes

FF

29 September 2015

Slide 9

Public

Page 10: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

High-NA new anamorphic Half Field concept

2x more dies

Only half fields can be printed

2x more mask acceleration time

• Speed of wafer stays the same (26mm slit)

• Magnification 2x (4x 8x)

4x more overhead

Faster stages needed

Full Fields Half Fields

Acceleration of the reticle stage

needs to go up ~4x

29 September 2015

Slide 10

Public

Page 11: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

High-NA Field and Mask Size productivity 500W enables throughput of 150wph with anamorphic HF

High-NA Half Field scanner

needs 500W for

150wph at 60mJ/cm2

Thro

ugh

pu

t [3

00

mm

/hr]

Source Power/Dose [W/(mJ/cm2]

Throughput for various source powers and doses

0

20

40

60

80

100

120

140

160

180

200

0 5 10 15 20 25 30 35

500 Watt

60mJ/cm2

1kW Watt

60mJ/cm2

NXE:3300

WS, RS current performance

WS 2x, RS 4x

HF

High NA anamorphic

FF

29 September 2015

Slide 11

Public

Page 12: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Imaging verification of the new Half Field concept Logic N5 clip Metal-1, 11nm lines. OPC

132 nm

17

2 n

m

Dose Anchor metrology

Focus Anchor metrology

11nm

Target Pattern Mask Pattern

8x

Illumination Pattern

8x

OPC is done for the 8x case,

then scaled to 4x/8x

29 September 2015

Slide 12

Public

Page 13: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Imaging verification of the new Half Field concept

Aerial Image Intensity in Hyperlith

Logic N5 clip Metal-1, 11nm lines

FF QF HF

Note: pictures at same scale,

smaller mask reflection is

also visible

29 September 2015

Slide 13

Public

Page 14: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Smaller mask angle of incidence for anamorphic system High-NA anamorphic system has smaller M3D effects than 0.33NA

(4x)

*L. de Winter, Understanding the Litho-impact of Phase due to 3D Mask-Effects when using off-axis illumination, EMLC 2015

Two-bar trenches are a

canary for M3D effects

29 September 2015

Slide 14

Public

Page 15: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Design Challenges

29 September 2015

Slide 15

Public

Page 16: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Overview main System Changes High-NA tool

Source

• 500W/1kW

Lens

• NA >0.5, high transmission

• Improved Thermal Control

Reticle Stage

• 4x current acceleration

• Same for REMA

Wafer Stage

• 2x current acceleration

• Improved leveling

Illuminator

• Improved

transmission New Frames

• Larger to

support Lens

29 September 2015

Slide 16

Public

Page 17: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Some Mask Consequences

• The image on the mask is a

stretched version of the image on

the wafer

• A 1:2 rectangle on the mask will

yield a square pattern on the wafer

• Angles do not stay the same

• An intended 45deg line will have a

different angle on the reticle

• From now on the mask will be

critical mainly in one direction

• 4x puts highest demands on CD,

registration and defectivity

The mask pattern is stretched in the scanning direction

x

y

MAG 4x in x MAG 8x in y

Note: rectangular slit shown for illustration purposes

29 September 2015

Slide 17

Public

Page 18: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Mask optimization

29 September 2015

Slide 18

Public

Page 19: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Different NXE:3500 anamorphic mag ratios feasible Optimization within the boundary condition of half-field on wafer pursued

requirement

4 5 6 7 8 Magnification

Horizontal Lines Aerial Image Contrast

NIL

S*

0

1

2

3

*NILS = Normalized Image Log Slope

8 nm L/S, 0.55 NA (k1=0.326)

4 5 6 7 8 Magnification

Vertical Lines Aerial Image Contrast

NIL

S*

0

1

2

3

8 nm L/S, 0.55 NA (k1=0.326)

Mag ratio options with

good NILS performance

29 September 2015

Slide 19

Public

Page 20: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Different magnification & mask are possible to produce same 26x16.5mm2 field

Vertical option

4x/8x, 104x132mm2

Sca

n d

ire

ctio

n

Square option

4.8x/7.5x, 124x124mm2

Horizontal option

5.1x/6.3x, 132x104mm2

Current Mask Layout

Quality Area

29 September 2015

Slide 20

Public

Page 21: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Reflection at reticle is angle dependent Several effects play a role Public

Slide 21

CD

pitch

α

h

heff

Mask Reflection

α

=

ML Reflection

x

z

y

mask

Shadowing

+ CD

pitch

α

heff

Ideal mirror Lost Reflection

Angle/pitchmask [deg/nm] 0.00 0.02 0.04 0.06 0.08

0.5

1.0

0.8

0.6

0.7

0.9

Refl

ec

tio

n [

AU

]

Assumptions:

• 10nm DL @ wafer

• Heff = 100nm

29 September 2015

Page 22: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

ML Reflection: V- and S-option have lower angles than 0.33NA The square option has the lowest maximum angle

α

Vertical

Square

Horizontal

Hig

h-N

A o

ptions

0.3

3N

A

Angles at centre of field, note that CRAO changes per option

Max angles over entire field

ML reflection 4x H

igh

-NA

op

tion

s

4x

29 September 2015 Slide 22 Public

Page 23: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Mask pitch enhances angular benefits of square option Less shadowing for Square option than at 0.33NA

Vertical

Square

Horizontal

Hig

h-N

A o

ptio

ns

0.3

3N

A

*18nm DL for 0.33NA

Vertical

Square

Horizontal

Hig

h-N

A o

ptio

ns

Angle/pitchmask [deg/nm] 0.00 0.02 0.04 0.06 0.08

0.5

1.0

0.8

0.6

0.7

0.9

Refl

ec

tio

n [

AU

]

Assumptions:

• 10nm DL @ wafer

• Heff = 100nm

CD

pitch

α

heff

Ideal mirror Lost Reflection

29 September 2015

Slide 23

Public

Page 24: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Propose new Mask Error Factor definition: MEF MEF* Emphasizes difference in the two orientations

𝑀𝐸𝐹 =∆𝐶𝐷𝑤𝑎𝑓𝑒𝑟

∆𝐶𝐷𝑚𝑎𝑠𝑘𝑚𝑎𝑔

𝑀𝐸𝐹∗ =∆𝐶𝐷𝑤𝑎𝑓𝑒𝑟

∆𝐶𝐷𝑚𝑎𝑠𝑘

29 September 2015

Slide 24

Public

Standard MEF definition:

Normalized wrt. magnification

• Difficult with two mag’s

Changed to MEF* definition:

Normalization taken out

• Now it’s direct impact of

mask errors on wafer errors

• H and V will be very different

due to anamorphic lens

Page 25: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

10nm L/S: The square option is the best compromise Mask errors favor the H-option, push-back from NILS and Dose

NILS -2% MEF* V -23% Dose -6%

Anchor V

29 September 2015 Slide 25

Public

Page 26: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

10nm Honeycomb: Square option is best compromise Mask errors now favor square, strong push-back Dose

NILS +2% MEF* -14% Dose -1%

Dose +13%

29 September 2015 Slide 26

Public

Page 27: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Vertical option Square option Horizontal

option

Magnification 4x/8x 4.8x/7.5x 5.1x/6.3x

Image field area 104mm X 132mm 124mm x 124mm 132mm x 104mm

Minimum distance pattern to edge 10mm 14mm 10mm

Minimum distance pattern to corner 26mm 20mm 26mm

Minimum feature size reference 20% larger 26% larger

MEF* reference 14-23% better 0-25% better

NILS reference ~same 0-4% worse

Dose reference 2-7% better 0-14% worse

4.8x/7.5x results in best overall imaging performance Analysis of selected use cases takes into account MEF, NILS and Dose

3 uses cases studied: 10nm L/S (Quasar), 9.8nm honeycomb (hexapole), MPU cuts (annular)

29 September 2015

Slide 27

Public

Page 28: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Square field has better flatness than rectangular field

Most existing masks have better

flatness over the 124mm x 124mm

square field

-20

-15

-10

-5

0

5

10

1 6 11 16 21 26 31 36

Fla

tne

ss

Dif

fere

nc

e [

nm

]

Mask ID #

Vertical option Square option

Magnification 4x/8x 4.8x/7.5x

Image field area 104mm X 132mm 124mm x 124mm

Mean Blank Flatness [nm]

Sigma

26.3

4.9

22.5

6.3

Flatness relative to 4x8 layout 29 September 2015

Slide 28

Public

Page 29: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Square option has better overlay than rectangular option

• Better flatness translates into

better overlay performance

• Note: larger x-magnification will

also improve overlay errors due

to registration

Vertical option Square option

Magnification 4x/8x 4.8x/7.5x

Image field area 104mm X 132mm 124mm x 124mm

Blank Flatness (overlay) Reference ++

-0.3

-0.25

-0.2

-0.15

-0.1

-0.05

0

0.05

0.1

1 6 11 16 21 26 31 36

Ove

rla

y d

iffe

ren

ce

[n

m]

Mask ID #

Overlay relative to 4x8 layout 29 September 2015

Slide 29

Public

Page 30: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Vertical option Square option Horizontal option

Magnification 4x/8x 4.8x/7.5x 5.1x/6.3x

Image field area 104mm X 132mm

137.3cm2

124mm x 124mm

153.8cm2

132mm x 104mm

137.3cm2

CD Control Reference ++ ++

Registration Reference ++ ++

Impact of defects Reference + +

Inspection Reference + ++

Repair Reference + +

Blank Flatness (overlay) Reference ++ +/-

Higher magnification in x-direction helps mask suppliers

29 September 2015

Slide 30

Public

Page 31: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

4.8x/7.5x leads to modified reticle layout vs. 0.33-NA Implications for alignment mark position and size and pellicle size

124mm 104mm

132mm

104 mm / 132mm (.33-NA) 124mm x 124mm (.55-NA)

Alignment targets, ReMa zones (contained in dashed lines) and pellicle reserved area (not

shown but considered in RPAS and 2D barcode location changes)

2D barcode, RPAS

and HRC change

location and size

RPAS

2D barcode

HR

C

* RPAS = Coarse align target, ** HRC = Human readable code

29 September 2015

Slide 31

Public

Page 32: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

Summary

• The EUV roadmap can be extended economically with High-NA

• New anamorphic concept resulting in half-field imaging

• Using 6” masks

• Throughputs > 150WpH

• Further optimization of anamorphic magnification ratio under study

• Optimizing NILS, MEEF, dose and mask 3D effects for relevant use cases

• Facilitating adoption by minimizing impact on the mask supply chain

• Requirements for mask placement error, mask & pellicle defectivity, blank flatness

• Room for placement of marks and pellicle mounting

• Requirements for mask writing accuracy

Slide 32

Public

29 September 2015

Page 33: EUV High-NA scanner and mask optimization for sub 8 nm ...euvlsymposium.lbl.gov/pdf/2015/Oral_Wednesday... · Jan van Schoot1, Koen van Ingen-Schenau1, Gerardo Bottiglieri1, Kars

The authors would like to thank the High-NA teams in - Oberkochen - Wilton - Veldhoven