21
Printability and Inspectability of Programmed and Real Defects on the Reticle in EUV Lithography Sungmin Huh 1 , In-Yong Kang 1 , Hwan-Seok Seo 1 , Dongwan Kim 1 , Seong-Sue Kim 1 , Sang-Hyun Kim 1 , HanKu Cho 1 , Kyoungyong Cho 1,2 , Iacopo Mochi 3 , and Kenneth Goldberg 3 1 Semiconductor R&D Center, Samsung Electronics Co., Ltd. 2 SEMATECH Albany 3 Center for X-ray Optics, Lawrence Berkeley National Laboratory *[email protected]

Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

Printability and Inspectability of Programmed and Real Defects on the Reticle in EUV Lithography

Sungmin Huh1, In-Yong Kang1, Hwan-Seok Seo1, Dongwan Kim1,

Seong-Sue Kim1, Sang-Hyun Kim1, HanKu Cho1, Kyoungyong Cho1,2,

Iacopo Mochi3, and Kenneth Goldberg3

1 Semiconductor R&D Center, Samsung Electronics Co., Ltd.2 SEMATECH Albany3 Center for X-ray Optics, Lawrence Berkeley National Laboratory

*[email protected]

Page 2: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

2

Outline

lObjective of the presentationlExperimental procedurelDefect printability using programmed pit defect – Reticle fabrication method– Minimum defect printability as location, size, and height

lDefect printability using real defect– Defect verification method without EUV AIMS– Printability based on wafer inspection & review using defect map

lSummary of presentation

Page 3: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

3

l Preparation of defect-free reticle is the top critical issue to launch EUVL into HVM.

l How can we accomplish it?− Blank inspection tool and defect review tool should be ready on time.

However, introduction of reticle inspection & defect review tools is a big concern.

− Practical printability and specification of defects should be primarily studied & defined.

l In this presentation, we will mainly discuss…– Printability study of blank defects

– Their printability and inspectability in EUV and DUV wavelength

– Defect verification method using current not enough infra-structure

l Two EUV reticles, with programmed pit defect and native defects, are used to evaluate the defect printability.

Objective of the Presentation

Page 4: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

Defect Sources on the Blank

l Blank defect reduction and its inspection tool are challenge for successful EUV lithography development.

l 75% of blank defects are originated from the substrate.– Substrate defects including pits & bumps (particle) are the most dominant defect

types in EUV blanks.

– Polishing & cleaning steps of LTEM substrate is the key process to reduce blank defects.

4

Substrate Defects

Multilayer Defects

Pit Bump

Particle

Yun, H, et al., Proc. of SPIE Vol. 7379, 73790G (2009).

Page 5: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

5

Detectability and Printability of Blank Defect

l Large phase defects can be easily detected by both reticle & wafer inspections.

l However, in the case of small phase defects (pits & bumps), It is hard to be detected by current blank and reticle inspection tools.

l This paper will present the requirement of the defect specification and defect review tool to qualify an EUV mask.

Blank inspection

Reticle inspection

Mask SEM AFM on reticle Wafer SEMWafer

inspection

Deep blank defect

Detected Detected Detected

Shallow blank defect

Not detected

Not detected

Detected

size : 114nm size : 114nm depth : 3.6nmdepth : 3.6nm

depth : ~ 50nmdepth : ~ 50nm

Page 6: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

6

Experimental Procedure 1. Reticle with programmed pit defect

– Defect printability as minimum size, depth, location of programmed pit defect

– Blank inspection, EUV ADT, SEMATECH Berkley AIT

2. Full field EUV reticle of contact array pattern with natural blank defect – Evaluate if wafer inspection tool can be used instead of EUV AIMSTM.

– Blank inspection, EUV ADT exposure, pattern inspection tool, wafer inspection tool

Page 7: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

7

1) Programmed Pit Defect

1) Thin TaN layer to make pit

TaN16nm depth

Qz

MLRu Capping layer

TaN

70nm

TaN layer

2) ML deposition & absorber patterningLayout2 : L/S pattern

Layout1 : Pit array pattern160nm

170nm

180nm

190nm

200nm

50nm

40nm

30nm

20nm

10nm

110nm

120nm

130nm

140nm

150nm

100nm

90nm

80nm

70nm

60nm

~5um

Pit array unit (Designed size)

Pit array unit under absorber pattern

128nm LS

140nm LS

148nm LS

160nm LS

l We used smoothing ML deposition to make shallow defect.

l After finishing all process, various size pit defects are located under absorber pattern.

Page 8: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

8

Pit Defect Position After Absorber Patterning

128nm LS

140nm LS

148nm LS

160nm LS

Unit 1

Unit 2

Unit 20

…l As no alignment option was used during e-beam writing, pit array position

slightly moves along the y-axis. Degree of shift of defects in each unit is ~16nm. So, we can see the defect printability as its position.

l In order to verify pit defect printability on the various defects’ position, ~20 points were reviewed during defect review on the region of 140nm HP L/S (35nm in wafer scale)

Unit 1

@ 4X

Page 9: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

9

Characterization of Programmed Pit Defect

l ML smoothing deposition method is used to make shallow defect.

l Measured width and depth can be converted to SEVD (spherical equivalent volume diameter) using gaussian defect scheme.

– Minimum defect size : 0.55nm depth, 23.9nm FWHM, 11nm SEVD

FWHMwidthpithdepthpit

)2ln(16)FWHM(h3

2)SEVD(

0

31

20

Gaussian

==

÷÷ø

öççè

æ=

160nm

170nm

180nm

190nm

200nm

50nm

40nm

30nm

20nm

10nm

110nm

120nm

130nm

140nm

150nm

100nm

90nm

80nm

70nm

60nm

Page 10: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

10

Pit defect visibility on Blank Inspection Tool

Minimum Defect

Minimum Defect

SEMATECH-LBNL AIT M7360

160nm

170nm

180nm

190nm

200nm

50nm

40nm

30nm

20nm

10nm

110nm

120nm

130nm

140nm

150nm

100nm

90nm

80nm

70nm

60nm

Design of Programmed defect

l Visibilities of pit defects on review images before absorber deposition (not inspection mode) are compared.

l Defect visibility on AIT is more sensitive than DUV blank inspection.l We will see the minimum printable defects after absorber patterning using AIT and EUV ADT.

FWHM : 23.9nm, depth : 0.56nmSEVD : 11nm

FWHM : 40.5nm, depth : 3.4nmSEVD : 23.1nm

Page 11: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

11

Minimum Defect Printability

0

10

20

30

40

50

60

70

0 16 32 48 64 80 96 112 128 144 160

Defect Position Shift (nm)

Min

imum

Prin

tabl

e S

EV

D (

nm) Resist Image

AIT Image

Minimum printable pit defect on 35nm HP

23.1nm : Resist17.1nm : AIT

Resist ImageDesigned Size: 100nm

SEVD : 23.1nmWidth : 40.5nm, depth : 3.4nm

Designed Size: 90nmSEVD : 17.1nm

Width : 32.3nm, depth : 2.0nm

Less printable

More printable

Min. printable defect in SEVD

l When defects are located in the middle of ML area. AIT can see minimum printable defect of 23.1nm in SEVD, but ADT can see 28.3nm in SEVD.

l When defects are located near absorber sidewall, it will be moreprintable. AIT can see minimum printable defect of 17nm in SEVD, but ADT can see 23.1nm in SEVD.

l Defect printability is very sensitive to the defect position. Blank inspection tool’s specification should be based on the critical case.

AIT

Page 12: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

Best focus

12

Defect PrintabilityThrough Focus

AIT Wafer SEMDesigned Size: 100nmSEVD : 23.1nm

Width : 40.5nm, depth : 3.4nm

Designed Size: 100nmSEVD : 23.1nm

Width : 40.5nm, depth : 3.4nm

?? Unprinted

Page 13: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

- Δ focus

13

pits become more printableat (–) defocus.

Designed Size: 90nmSEVD : 17.1nm

Width : 32.3nm, depth : 2.0nm

AIT Wafer SEMDesigned Size: 100nm

SEVD : 23.1nmWidth : 40.5nm, depth : 3.4nm

l LWR on the wafer SEM image makes gap between AIT and wafer image.

l When better resists are available in the future, this gap can be decreased.

Printed Unprinted

Page 14: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

+ Δ focus

14

pits become less printableat (+) defocus.

AIT Wafer SEMDesigned Size: 100nmSEVD : 23.1nm

Width : 40.5nm, depth : 3.4nm

Designed Size: 110nmSEVD : 29.5nm

Width : 50nm, depth : 4.5nm

Page 15: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

15

Required Blank Inspection Tool

* KT Teron : Joshua Glasser, et. al, KLA Tencor, Proc. of SPIE Vol. Vol. 7748, 774808** M7360 : Andy Ma, et. al, Intel Corp. Proc. of SPIE Vol. 7379, 73790I*** SELETE : Takeshi Yamane, et. Al Proc. SPIE Vol. 7488, 74881B

Required blank inspection tool

Current

Future

l Currently blank inspection tool can detect the printable defect based on current resist and 35nm half pitch L/S pattern.

l But, we need blank inspection tool with better sensitivity when we have better resist in the future.

Need to be improved

Page 16: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

16

2) Defect Verification Methods

l Because we don’t have enough infra-structure for blank inspection and defect verification at this time, we need to take advantage of wafer inspection and defect review for defect verification.

l We evaluated if wafer inspection can be used instead of EUV AIMSTM.

Po

lish

Flatn

ess

Su

bstrate

Insp

ection

ML

Blan

kIn

spectio

n

Ab

sorb

eR

esist

Write

Develo

p

CD

Pattern

Defect

Insp

ection

reticleV

erification

Rep

air

Lith

o

Lith

o

Wafer

Insp

ection

Reticle q

ualify

Blank Manufacturing

Use in

fab

NG in case of repairable defect

OK

Wafer review

Wafer Fabreticle Shop

NG in case of unrepairable defect

Wafer

Insp

ection

Page 17: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

17

Defect Printability using Real Defect

l Full field EUV mask with 4Xnm half pitch contact array was used to evaluate the sensitivity of wafer inspection tool.

– 4Xnm half pitch : minimize resist effect such as resolution and LWR.– Contact array : evaluate the sensitivity of inspection tool. And most of study is based on L&S pattern.– We used wafer inspection after dry etched wafer for better sensitivity

Pattern layout : 4X nm half pitch contact array

Full field EUV Mask

Page 18: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

18

Classification and Detected Defectby Wafer Inspection

l 2 pattern defects are inspected with wafer inspection tool.

And No blank defects are not inspected with wafer inspection tool.

l After wafer inspection, we reviewed all defects based on pattern inspection andblank inspection data to evaluate sensitivity of wafer inspection is enough or not.

reticle SEMreticle SEM Wafer SEMWafer SEM

reticle SEMreticle SEM Wafer SEMWafer SEM

Repeater analysis with wafer inspection

Page 19: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

19

Defect Review Based on Blank & Patternreticle Inspection Results

l 2 ML defects are printed on the wafer with change of contact area.

However, those defects are not detected by wafer inspection tool.

l This means that wafer inspection itself can’t replace EUV AIMSTM.

l Wafer inspection and review based on blank defect map should be done for defect verification method or EUV AIMSTM should be ready on time.

detected by wafer inspection Not inspected, but found by defect review

Reticle SEMPattern defectPattern defect

Wafer SEMWafer SEMDetectedDetected

Reticle SEMPattern defectPattern defect

Wafer SEMDetectedDetected

Reticle SEMBlank defect Blank defect

Wafer SEMDetectedDetected

Reticle SEMBlank defect Blank defect

Wafer SEMDetectedDetected

Wafer InspectionWafer InspectionDetectedDetected

Wafer InspectionWafer InspectionDetectedDetected

Wafer InspectionWafer InspectionNot DetectedNot Detected

Wafer InspectionWafer InspectionNot DetectedNot Detected

Page 20: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

20

Summary

l Programmed pit defect reticle is used to evaluate the required specification on blank inspection tool.

– Printability of phase defects strongly relies on their locations. Most printable when the defect is located by absorber sidewall.

– According to AIT results with 35 nm hp L/S, minimum printable size of pits could be 17 nm of SEVD. However 23.1nm in SEVD is printable from the EUV ADT.

l 4X nm half pitch contact array pattern is used to see if wafer inspection can replace the EUV AIMSTM.

– 2 pattern defects are inspected with wafer inspection tool.

– 2 ML defects are reviewed by wafer review. However, those defects are not inspected by wafer inspection tool.

l Wafer inspection itself can’t be used instead of EUV AIMSTM. Wafer inspection and review should be done for defect verification method.

Page 21: Printability and Inspectability of Programmed and Real ...euvlsymposium.lbl.gov/pdf/2010/pres/MA-07.pdf · Printability and Inspectability of Programmed and Real Defects on the Reticle

Acknowledgements

The authors would like to thank for ...

l Photomask team in Samsung for reticle fabrication & evaluation

l Process development team in Samsung for wafer process and wafer inspection.

l Technical staffs at SEMATECH and ASML in Albany for EUV ADT exposure– SEMATECH : Dominic Ashworth and Warren Montgomery

– ASML : Sang-In Han

21