14
7/28/2019 Application Specific Low Power Alu Design_Nathan http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 1/14 APPLICATION SPECIFIC LOW POWER ALU  DESIGN   Yu Zhou and Hui Guo By Nathan Windels

Application Specific Low Power Alu Design_Nathan

Embed Size (px)

Citation preview

Page 1: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 1/14

APPLICATION SPECIFIC LOW POWER 

ALU DESIGN  Yu Zhou and Hui Guo

By Nathan Windels

Page 2: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 2/14

OUTLINE 

Review Sources of Power Consumption

Review ALU Structures Chain Structure Design and Proposed ALU

Customization

The Test Setup

Results

2

Page 3: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 3/14

POWER CONSUMPTION 

Power consumption is a critical design issue inembedded processor designs

Two Types of Power Consumption:

Dynamic: Reduce switching capacitance, switching

frequency, or supply voltage Static: reduce circuit size, operating temperature,

increase transistor threshold voltage

 Areas of Power Consumption

Semiconductor Chip Design Level (transistor sizing,

threshold voltage scaling)

Register Transfer Level (clock gating, power gating)

System Level (dynamic voltage scaling)

Modify individual functional components of theprocessor (ALU customization)

3

Page 4: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 4/14

 ALU STRUCTURES 

4

The top is a tree structure(faster, larger area)

The bottom is a chainstructure (slower, smaller 

area) In a lot of applications,

the ALU is not in thecritical path of theprocessor, so the chainstructure is often used

 ASIPMeister uses thechain structure to savearea.

Page 5: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 5/14

The idea of this paper is to customize the ALU by

repositioning the elements in the chain structure

Swapping the ‘add’ and ‘or’ components may favour 

some applications and can save a considerable

amount of ALU power.

This approach to power reduction is almost cost

free and is extremely simple to implement.

5

Page 6: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 6/14

PROPOSED CHAIN STRUCTURE 

6

There are n functionalcomponents and they areconcatenated by 2-to-1multiplexers.

Oi  is the operationalactivity of component i

Omuxj  is the operationalactivity of the multiplexor  j

Change of componentpositions with not effectOi, but it will effect Omuxj  

Page 7: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 7/14

 ALU CUSTOMIZATION 

We can customize the ALU design by identifyingfrequent functional components and placing them closeto the output.

In application specific designs, the frequency of a

functional component is obtained from instructionfrequencies.

We can therefore partition the instruction set into ALUand non-ALU instructions. The ALU instructions canthen be grouped according to the functional componentthey actually use.

Different weights of power consumption can be assignedto different functional components.

Design in such a way that high weight and highfrequency components are placed closer to the output. 7

Page 8: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 8/14

 ALU TEST SETUP 

8

Created a simple ALU

in VHDL

The design was

synthesized with the

Synopsis Design

Compiler based on the

ts11fs120 library

The Power 

consumption wasestimated by Synopsis

PrimePower.

Page 9: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 9/14

 ALU TEST SETUP (2)

 A reduced ALU of 4 functions was used for 

exploring designs of all possible placements in

order to verify the effectiveness of this approach.

The adder is the longest component, therefore

when it is positioned next to the output in the chain,

the overall delay is reduced.

The power always reaches a minimum level when

the related functional component is placed closest

to the output.

9

Page 10: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 10/14

FULL PROCESSOR TEST SETUP 

10

The processor design for agiven application wasautomatically generated.

The target processor instruction set was Portable

Instruction Set Architecture. The VHDL model was

automatically generated by ASIPMeister.

Simplescalar was used to

compile the applicationprogram and to profile theprogram execution.

Page 11: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 11/14

 ALU OPERATION FREQUENCY 

11

We can see from this

graph that addition has

the highest frequency

for all designs, so the

adder is placed closestto the output.

This table was

obtained using the

Simplescalar profiler.

Page 12: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 12/14

 ALU TEST RESULTS 

12

Page 13: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 13/14

 ALU TEST RESULTS (2)

13

The CPU clock time remains unchanged throughout

all the designs, demonstrating that the ALU is not on

the critical path.

Page 14: Application Specific Low Power Alu Design_Nathan

7/28/2019 Application Specific Low Power Alu Design_Nathan

http://slidepdf.com/reader/full/application-specific-low-power-alu-designnathan 14/14

CONCLUSION 

The order of functional components in the chain

effects the power consumption, therefore, the

frequently operating component should be placed

close to the output.

This change is easy to make. All you have to do is

swap the order of ALU operations in the if-then-else

statement in the HDL code.

This approach may be applicable to other designs

with similar chain structure (floating-point ALU’s). 

14