20
Design of 8-bit ALU Prepared by : Shobhan Pujari , M.S.(Northwestern Polytechnic University,USA)

8 bit alu design

Embed Size (px)

Citation preview

Page 1: 8 bit alu design

Design of 8-bit ALU

Prepared by : Shobhan Pujari , M.S.(Northwestern Polytechnic University,USA)

Page 2: 8 bit alu design

Agenda

AbstractIntroductionHistoryImportance of ALUFunctionsProject DetailsVerilog codeSimulation resultsConclusion

Page 3: 8 bit alu design

Abstract

Aim Of the project is to design a 8-bit ALU which accepts two 8-bit binary numbers and displays results.It performs arithmetical , logical and relational operations.ALU is designed by using of gates like AND,OR,NAND,NOR,NOT,XOR and XNOR gates.Verilog code is used for designing and EDA tool is used for simulation.

Page 4: 8 bit alu design

Introduction

An arithmetic logic unit (ALU) is a major component of the central processing unit of a computer system. It does all processes related to arithmetic and logic operations that need to be done on instruction words. In some microprocessor architectures, the ALU is divided into the arithmetic unit (AU) and the logic unit (LU).

Page 5: 8 bit alu design

History of ALUMathematician John von Neumann proposed the ALU concept in 1945.The first ALU was introduced in 1948 that operated on single data bit.In some early microprocessors employed a narrow ALU which performs 32-bit operation in two cycles with a 16-bit ALU.Over time, transistor geometries shrank further and it became feasible to build wider ALUs on microprocessors.

Page 6: 8 bit alu design

Importance of ALU

Page 7: 8 bit alu design

Importance of ALU

Page 8: 8 bit alu design

Functions of ALU

Arithmetic Operations:Addition Subtraction DivisionMultiplicationIncrementDecrement

Page 9: 8 bit alu design

Functions of ALULogical Operations:

ANDORNANDNORXORXNORNOTSome relational Operations

Page 10: 8 bit alu design

Project Details

Verilog codeTestbench codeDiagram Of ALUOutput resultsSimulation results

Page 11: 8 bit alu design

Verilog Code

Page 12: 8 bit alu design

Verilog Testbench Code

Page 13: 8 bit alu design

Verilog Testbench Code

Page 14: 8 bit alu design

Diagram OF ALU

Page 15: 8 bit alu design

Schematic Diagram Of ALU

Page 16: 8 bit alu design

Output Results

Page 17: 8 bit alu design

Simulation Results

Page 18: 8 bit alu design

Conclusion

The Arithmetic Logic Unit is an important part of computer CPU’s. We learned how to produce different arithmetic operations and logic functions by using various select singles for a single circuit.The ALU can also be designed using reversible logic gates instead of conventional gates.The reversibility significantly reduces the use and loss of information bits.

Page 19: 8 bit alu design

Great teamwork helped us to achieve our project goal!

Page 20: 8 bit alu design

Thank you