48
EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

V. Banine - EUV Litho, Inc.preionization Changed but still alive See for further reference eg:Bakshi V, EUV Sources for Lithography, SPIE Press, Bellingham, Washing ton, USA, 2006

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

  • EUV Lithography and EUVL sources: from the beginning to

    NXE and beyond.

    V. Banine

  • Slide 2 | Public

    Content

    • EUV lithography: History and status

    • EUV sources- historical perspective:

    • Age of choice

    • Age of Xe

    • Age of Sn

    • Age of industrialization

    • … and beyond

    • Conclusions

  • Slide 3 | Public

    Content

    • EUV lithography: History and status

    • EUV sources- historical perspective:

    • Age of choice

    • Age of Xe

    • Age of Sn

    • Age of industrialization

    • … and beyond

    • Conclusions

  • Slide 4 | Public

    EUV has come a long way in last 25 years

    '85 '86 '87 '88 '89 '90 '91 '92 '93 '94 '95 '96 '97 '98 '99 '00 '01 '02 '03 '04 '05 '06 '07 '08 '09 '10

    NL:

    5 µµµµm

    USA:

    40 nm hp

    70nmL&S

    1st papers soft X-ray for lithography(LLNL, Bell Labs)

    ASML start EUVL research program

    •ASML has active program since 1997. •Currently >1000 people work on pre-production systems are shipped 2010-11.

    Japan:

    80nm

    160nm

    ASML ships pre-production tools

    ASML ships 2 alpha tools to IMEC (Belgium) and CNSE (USA)

    NL:

    40 nm hp28 nm Lines and spaces

    Japan:

    Kinoshita et al

    11

    NL:

    40 nm hp28 nm Lines and spaces

    18 nm Lines and spaces

  • Slide 5 | Public

    EUV and BEUV product roadmap spans >10 years

    Under study

    6/8M6/8M6M6M6M6MLens mirrors

    >350035003300C3300B3100ADTProduct

    New λ13.5 nm13.5 nm13.5 nm13.5 nm13.5 nmWavelength

    flex OAI

    2018

    3502501053Source (W)

    1515105Dose (mJ/cm2)

    150 wph125 wph60 wph4 wphThroughput (wph)

    3.0 nm3.5 nm4.5 nm7.0 nmOverlay (SMO)

    flex OAIOAI0.2-0.90.80.5Sigma

    11 nm18 nm22 nm27 nm32 nmResolution (hp)

    20162013201220102006Introduction year

    >0.40 NA0.33 NA0.25 NA

  • Slide 6 | PublicSlide 6 |

    • Imaging• Resolution 27nm

    • NA=0.25

    • σ=0.8

    • Overlay• DCO=4.0 nm

    • MMO=7.0 nm

    • Productivity• 60wph

    • 10mJ/cm2 resist

    Next step in EUV Manufacturing integration:4 NXE:3100 shipped, 1st operational at customer site

  • Slide 7 | Public

    Further Resolution extension with 0.25NAsupports resist and process development for NXE:3300

    21p42 20p40 19p38

    • dipole-60, inorganic negative tone resist

    • further reduction of resolution with smaller poles possible

    in collaboration with IMEC, resist Inpria

    18p36

  • Slide 8 | Public

    NXE:3100 and source challenges

    Challenges:•To produce enough power (Plasma source)•To protect collection optics from the debris produced by the source (Plasma sputtering and deposition)

  • Slide 9 | Public

    Content

    • EUV lithography: History and status

    • EUV sources- historical perspective:

    • Age of choice

    • Age of Xe

    • Age of Sn

    • Age of industrialization

    • … and beyond

    • Conclusions

  • Slide 10 | Public

    Definition of clean photon spot at intermediate focus (IF)

    Collector

    FilterSource

    Debris mitigation

    Source specifications are defined at intermediate focus (IF)

    which is illuminator entrance

    Aperture (IF)

    Vacuum chamber

    illuminator

  • Slide 11 | Public

    Joint Requirements for EUV Source till not long ago (2008)

    SOURCE CHARACTERISTIC REQUIREMENT

    •Wavelength 13.5 [nm]

    •EUV Power (in-band) 115 [W]

    •Etendue of Source Output max 1 - 3.3 mm2sr•Collector lifetime (to 10% loss) > 15000 hours

    •Source Cleanliness at IF ≥≥≥≥ 30,000 hours•Max. solid angle input to illuminator 0.03 - 0.2 [sr] •Repetition Frequency > 6000 Hz

    •Integrated Energy Stability ±±±±0.3%, 3σσσσ over 50 pulses

    •Spectral purity:

    130-400 [nm] (DUV/UV) ≤≤≤≤ 3 - 7%≥≥≥≥ 400 [nm] (IRVis) at Wafer TBD

  • Slide 12 | Public

    Historical perspective: Production power requirement,achieved power, productivity

    0.01

    0.1

    1

    10

    100

    1000

    1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010

    Year

    Po

    wer

    @ I

    F,

    W

    Power desired, W IF

    Power achieved, W IF

    Age of Xe Age of SnAge of choice

    ADT

    Averaged and independent on supplier

    Age of industrialization

    NXE-3100

    Produc

    tivity

    Gap in productivity is being bridged, in reliable power is still 10x to go.

    Pro

    du

    ctiv

    ity, w

    ph

  • Slide 13 | Public

    Content

    • EUV lithography: History and status

    • EUV sources- historical perspective:

    • Age of choice

    • Age of Xe

    • Age of Sn

    • Age of industrialization

    • … and beyond

    • Conclusions

  • Slide 14 | Public

    Synchrotron wiggler, undulator , FEL

    Principle:

    1. Relativistic electrons traversing a periodic

    magnetic structure are being bent;

    2. Being bent, electrons emit EUV.

    Prospects before 2000:

    1. No debris;

    2. Good dose repeatability;

    3. High maturity (1999!);

    4. High uptime

    Issues:

    1. High CoO;

    2. Non-flexible configuration.

    3. Not enough power (2005!)

    4. Current update: 0.2 W with FLASH (250 m

    installation)

    e-

    EUV

    Never made it

    See for further reference eg: DC Ockwell et al J. Vac. Sci. Technol. B 17, 3043 (1999)

  • Slide 15 | Public

    Plasma focus (Cymer)

    Principle:

    1. Voltage is applied between anode

    and cathode;

    2. Interaction of magnetic field with current

    pushes the current to the anode top;

    3. Very hot Xe plasma cluster (PF) is formed;

    4. EUV is emitted

    Prospects before 2000 :

    1. Low CoO.

    Issues:

    1. Debris (solution is forseen);

    2. Heat load;

    3. Component erosion;

    4. Pulse to pulse repeatability.

    EUV

    Cathode

    Anode

    Pinch

    B

    B

    F

    RIP 2004

    See for further reference eg: Fomenkov et al, Sematech International workshop on EUVL, 1999

  • Slide 16 | Public

    Z-pinch

    Principle:

    1. Xe is puffed into the system;

    2. Diffused preionization is applied;

    3. Voltage is applied between anode and cathode;

    4. Azimuthal magnetic field is generated by the

    current;

    5. Magnetic field contracts discharge into a thin

    thread,

    which is called Z-pinch;

    6. This produces high temperature and thus EUV.

    Early prospects:

    1. Low CoO;

    Issues:

    1. Debris (solution is foreseen);

    2. Heat load;

    3. Component erosion;

    4. High rep. rate.

    EUV

    AnodeCathode

    Pinch

    Puff Xe injection

    Ceramic plugDiffuse preionization

    Changed but still alive

    See for further reference eg:Bakshi V, EUV Sources for Lithography, SPIE Press, Bellingham, Washington, USA, 2006

  • Slide 17 | Public

    Capillary discharge

    EUV

    AnodeCathode

    XeInsulator

    Capillary

    Principle:

    1. Xe is being pumped through the system;

    2. Voltage is applied between anode and cathode;

    3. Geometrically current is driven to flow

    through a narrow capillary;

    4. Due to Joule dissipation Xe is being heated and

    emits EUV;

    Prospects before 2000 :

    1. Low CoO.

    Issues:

    1. Debris;

    2. Heat load;

    3. Component erosion;

    4. High rep. rate. ( >1000 Hz)

    Never made it

    See for further reference eg: F. Jin. and M. Richardson., Applied Optics 34, p.5750, 1995

  • Slide 18 | Public

    LPP plasma

    Laser

    Nozzle

    Xe clusters

    Collection mirror

    Principle:

    1. An intense pulsed laser is focused on

    targets, which are jet produced Xe clusters;

    2. Xe is highly ionized by heating and emits

    EUV.

    Prospects before 2000 :

    1. High maturity;

    2. Good dose repeatability.

    Issues:

    1. CoO;

    2. Debris;

    3. MoBe optics (11 nm) (1999!).

    Changed but still alive

    See for further reference eg:Bakshi V, EUV Sources for Lithography, SPIE Press, Bellingham, Washington, USA, 2006

  • Slide 19 | Public

    Content

    • EUV lithography: History and status

    • EUV sources- historical perspective:

    • Age of choice

    • Age of Xe

    • Age of Sn

    • Age of industrialization

    • … and beyond

    • Conclusions

  • Slide 20 | Public

    Plasma Focus of Cymer HCT of Philips

    EUV

    Cathode

    Anode

    Pinch

    B

    B

    F

    Bergmannet al., Microel .eng. 57-58, 2000, pp. 71-77

    Cathode

    Anode

    Bergmannet al., Microel .eng. 57-58, 2000, pp. 71-77Bergmannet al., Microel .eng. 57-58, 2000, pp. 71-77

    Cathode

    Anode

  • Slide 21 | Public

    EUV pictures of plasma pinching for Philips source (5ns frame)

    Measured:

    CE = 0.4%

    0 -10 ns

    15 -25 ns

    30 -40 ns

    45 -55 ns

    60 -70 ns

    75 -85 ns

    Sheet type pinching

  • Slide 22 | Public

    Xtreem (Lambda) LPP Z-pinch

    EUV emission

  • Slide 23 | Public

    End of Xe age

    • Too low conversion efficiency 0.5-1.1% (in-band in 2π)

    • Not high enough projected maximum power (30-40 W @IF)

    • Short lifetime of electrodes (DPP) (several hours of operation)

    • Too high CoO for LPP at such CE

    • Emerging alternative: Sn and Li (up to 3.5-5 % CE) and potential multiplexing (early trials at ASML-ISAN, Cymer et al)

  • Slide 24 | Public

    Emerging alternative

    •Spectra•CE•cleanliness

    2 % BW @ 14.32 % BW @ 13.5

    InSn

    Li

    Ein= 3 J

    CE (2% BW,

    2π):

    in 13.5nm:Li = 0.5%Sn = 2 - 3.5 %(best ever)in 14.3 nm:In = 1 %

    Concern: Potentially increased debris production with respect to gaseous materials

    •Spectra•CE•cleanliness

    2 % BW @ 14.32 % BW @ 13.5

    InSn

    Li

    •Spectra•CE•cleanliness

    2 % BW @ 14.32 % BW @ 13.5

    InSn

    Li

    Ein= 3 J

    CE (2% BW,

    2π):

    in 13.5nm:Li = 0.5%Sn = 2 - 3.5 %(best ever)in 14.3 nm:In = 1 %

    Concern: Potentially increased debris production with respect to gaseous materials

    Laser

    Cathode

    AnodeLaser

    Cathode

    AnodePseudo spark (ASML-Troitsk) 2000

    Achieved:

    • 2 % CE

    • 100 Hz (ignition laser limited)

    10 W in 2π in-band (1-2 W in IF)

    Prospect:

    • 2-3%

    • 1000 Hz

    >100 W in IF

    Multiplexing

  • Slide 25 | Public

    Content

    • EUV lithography: History and status

    • EUV sources- historical perspective:

    • Age of choice

    • Age of Xe

    • Age of Sn – the beginning

    • Age of industrialization

    • … and beyond

    • Conclusions

  • Slide 26 | Public

    Will be updated

    Philips Extreme UV

    ++++

    lasertin supplycooling channel

    Philips‘ EUV Lamp: Sn-based rotating

    electrodes

    - 200W/2π continuous operation (scalable to >600W /2π)- very small pinch (>1 bln shots electrode life

    - commercial product

    2005

  • Slide 27 | Public

    MNE Rotterdam

    Sep2004

    Here will be a new slide of Xtreme

    2005

  • Slide 28 | Public

    Here will be a new slide of Cymer

    Development of one of the first LPP with Sn was started

  • Slide 29 | Public

    Collector lifetime (DPP) combining a number of methods

    Production system (10 kHz)

    1.0E-05

    1.0E-04

    1.0E-03

    1.0E-02

    1.0E-01

    1.0E+00

    1.0E+01

    1.0E+02

    1.0E+03

    1.0E+04

    1.0E+05

    2000 2001 2002 2003 2004 2005 2006 2007 2008 2009

    Co

    lle

    cto

    r life

    tim

    e [

    ex

    po

    s. h

    rs]

    Xe

    Sn

    Combination

    of methods

    Consumable 3000 hr

    Non-consumable 15000 hr

    Combination of methods demonstrate lifetime of ∼∼∼∼0.5 year

    Price to pay:

  • Slide 30 | Public

    Debris characterization

    • Total amount produced debris

    • Micro particles -> non-uniform collector surface coverage

    • Fast ions -> surface sputtering

    • Atomic/ ionic debris -> uniform collector surface coverage

  • Slide 31 | Public

    Suppression of Sn micro-particles

    Conclusion• Sn particulates (>100nm) can be suppressed to desired values from

    reaching the collector

    no mitigation: a mess!

    with mitigation: 1 particulate!

    0.1

    1.0

    10.0

    100.0

    1000.0

    10000.0

    0 100 200 300 400 500 600 700

    Micro-particle stopping factor [a.u.]

    Su

    pp

    ressio

    n f

    acto

    r [a

    .u.]

    micro-particles target

    limited

    by accuracy

    of meas.10 µµµµm

    10 µµµµm

    Particle count

    0 5 10 15 20 25 30 35 40 4520

    0

    20

    40

    60

    80

    100

    120

    140

    160

    180

    Particle size, um

    Num

    ber

    den

    sity

    - b

    are

    level

    num

    ber

    den

    si

    .

    Without mitigation

    With mitigation

    Part

    icle

    co

    un

    t d

    en

    sit

    y,

    AU

    0 5 10 15 20 25 30 35 40 45Size, µµµµm

    No mitigationWith mitigation

    ~ 2005

  • Slide 32 | Public

    Ionic debris characterization

    Source

    PumpPump

    multi

    channel

    plate

    z= 1z=2z=3

    z=4

    z=5

    z=10

    z=9

    z=8

    E/Z=290 eV

    Ionic Energy Analyzer

    Ions form z=1 to z=10 are present

    in the ionic debris

    ~ 2005

  • Slide 33 | Public

    No mitigation applied

    Z=1, Sn

    2

    3

    Mitigation applied

    Current

    Voltage

    Ion spectra

    4

    8

    Z=1, W

    Z=2, W

    Ionic debris mitigation

    Ionic debris can be successfully stopped by applied mitigation

    Ion spectra

    As measured with witness samples no fast ion induced damage has occurred with an equivalent 107-108 pulses of lifetime (determined by the detection limit)

    ~ 2005

  • Slide 34 | Public

    Sn deposition and cleaning

    Cleaning of a Sn-deposited mirror*

    0%

    20%

    40%

    60%

    80%

    100%

    0 10 20 30

    Grazing angle, deg

    Re

    fle

    cti

    vit

    y, %

    Before handling

    After cleaning

    Before cleaning

    If Sn deposition would limit the collector lifetime it is proven to be cleanable

    ~ 2005

  • Slide 35 | Public

    Collector lifetime must be ensured also at higher power levels

    Lifetime demonstration of 1000x is needed

    Maintaining debris suppression with power scaling of up to 100x is necessary

    ~ 2008

  • Slide 36 | Public

    Content

    • EUV lithography: History and status

    • EUV sources- historical perspective:

    • Age of choice

    • Age of Xe

    • Age of Sn

    • Age of industrialization

    • … and beyond

    • Conclusions

  • Slide 37 | Public

    Historical perspective: Production power requirement,achieved power, productivity

    0.01

    0.1

    1

    10

    100

    1000

    1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010

    Year

    Po

    wer

    @ I

    F,

    W

    Power desired, W IF

    Power achieved, W IF

    Age of Xe Age of SnAge of choice

    ADT

    Averaged and independent on supplier

    Age of industrialization

    NXE-3100

    Produc

    tivity

    Gap in productivity is being bridged, in reliable power is still 10x to go.

    Pro

    du

    ctiv

    ity, w

    ph

  • Slide 38 | Public

    Source roadmap activities driven by 3 itemspower scaling, debris and stability

    power scaling

    2-3x more power needed for volume production (250W)

    duty

    cyc

    le

    Lifetime/debris

    Degradation and thermal load

    of parts close to plasma

    test time Stability

    tin management

    start up issues of new H/W

    stable co

    nfig

    uratio

    ns

  • Slide 39 | Public

    4 Cymer LPP sources integrated to NXE:310011W expose power being implemented at scanner

    Demonstration

    80W expose power at 3% duty cycle

    99%

    Fie

    lds

  • Slide 40 | Public

    30min

    +/- 0.2% dose control

    0 20 40 60 800

    400

    800

    1200

    1600

    po

    we

    r a

    t p

    lasm

    a [

    W/2

    pi]

    input power [kW]

    0.2 sec on0.8 sec off

    1st Ushio source integrated and exposing wafers9 shell collector, 7W integrated, 12W (61W, 20%) demonstrated

    61W expose powerat 20% duty cycle

  • Slide 41 | Public

    GPI demonstration source assembly completed20 W expose power at 5% duty cycle and 3.6 kW CO2

    Source vessel Drive Laser

    0

    5

    10

    15

    20

    25

    30

    0 1 2 3 4 5 6 7 8time [hr]

    EU

    V p

    ow

    er

    @ I

    F c

    lean

    [W

    ]

  • Slide 42 | Public

    Source industrialization is progressing3 suppliers committed for volume manufacturing with NXE:3300B

    0.1

    1

    10

    100

    1000

    Q1/2009 Q1/2010 Q1/2011 end/2012

    timeline

    250W

    125wph

    15mJ/cm2

    ~10W

    5wph

    10mJ/cm2

    ~1W

    0.5wph

    10mJ/cm2

    end/2011

    ~105W

    60wph

    10mJ/cm2

    So

    urc

    e p

    ow

    er

    [W]

    op

    era

    tio

    nal

    so

    urc

    es

    for

    NX

    E:3

    x00

    0

    5

    10

  • Slide 43 | Public

    Content

    • EUV lithography: History and status

    • EUV sources- historical perspective:

    • Age of choice

    • Age of Xe

    • Age of Sn

    • Age of industrialization

    • … and beyond

    • Conclusions

  • Slide 44 | Public

    EUV and BEUV product roadmap spans >10 years

    Under study

    6/8M6/8M6M6M6M6MLens mirrors

    >350035003300C3300B3100ADTProduct

    New λ13.5 nm13.5 nm13.5 nm13.5 nm13.5 nmWavelength

    flex OAI

    2018

    3502501053Source (W)

    1515105Dose (mJ/cm2)

    150 wph125 wph60 wph4 wphThroughput (wph)

    3.0 nm3.5 nm4.5 nm7.0 nmOverlay (SMO)

    flex OAIOAI0.2-0.90.80.5Sigma

    11 nm18 nm22 nm27 nm32 nmResolution (hp)

    20162013201220102006Introduction year

    >0.40 NA0.32 NA0.25 NA

    Possible new wavelength = 6.x nm

  • Slide 45 | Public

    Source: materials and spectraSource: Churilov etc

    • Gd and Tb are the main potential materials of choice for 6.x lithography• Simultaneous optimization of ML band and emission spectral power is required

    Optical throughput optimized for the coating (10 mirrors)Optical throughput optimized for the maximum emission spectrum

  • Slide 46 | Public

    0

    0.4

    0.8

    1.2

    1.6

    2

    Dec-08 Feb-09 Mar-09 May-09 Jul-09 Aug-09 Oct-09

    CE

    in

    2ππ ππ

    in

    ba

    nd

    , %

    Investigating Conversion efficiency (CE) for 6.77 nmwith LPP

    CE is defined as usual in 2ππππ in bandwidthBut bandwidth is not 2% as for 13.5 nm but 0.6%

    Power density scaling

    (Nd-Yag)

    Target optimization(CO2)

    In-band CE for 6.x nm (1.8% vs theoretical 3-5%) is

    already comparable with that of 13.5 nm Sn

    Gd

  • Slide 47 | Public

    Summary: First 4 NXE:3100 systems shipped1st system operational in the field

    • Full wafer imaging of 27nm L/S demonstrated

    • Resolution down to 18nm demonstrated

    • 7nm Matched Machine Overlay demonstrated

    • Platform roadmap in place for cost effective EUV production

    • Significant source power scaling > 100x is achieved In last 10 years

    • Source showed a significant progress in reliability (10x)

    • Source suppliers are committed to support volume manufacturing needs

  • Slide 48 | Public

    Acknowledgements

    The work presented today, is the result of hard

    work and dedication of teams at ASML and many technology partners worldwide

    including our customers

    ASML is grateful for the support of the EAGLE and EXEPT projects, as well as the MEDEA+ and CATRENE organizations of

    the European Union