44
Wim van der Zande EUV Litho Workshop Amsterdam November 2016 EUVL Exposure Tools for HVM: It’s Under (and About) Control Public ASML Director, Research

Public - EUV Litho · June 22, 2016 Public Slide 5. Slide 6 Public ASML’s holistic shrink roadmap: DUV-EUV coexistence Extend DUV to support multiple patterning Introduce EUV to

Embed Size (px)

Citation preview

Wim van der Zande

EUV Litho Workshop Amsterdam – November 2016

EUVL Exposure Tools for HVM: It’s Under (and About) Control

Public

ASML Director, Research

ASML at a EUV Source WorkshopSlide 2

• The position of EUV for continuation of advanced lithography solutions:

More than simply shrink

• EUV Installed base performance:

Imaging, overlay, defectivity: status and implication

• EUV source architecture, performance and power scaling:

The beauty of the ASML EUV Source

• Summary

Public

Acknowledgements:Slide 3

Public

Igor Fomenkov, David Brandt, Daniel Brown, Rob Rafac, Alexander Schafgans, Yezheng Tao, Michael Purvis, Alex

Ershov, Georgiy Vaschenko, Slava Rokitski, Michael Kats, Daniel Riggs, Wayne Dunstan, Michael Varga, Mathew

Abraham, Matthew Graham, . . . . . .

Cymer LLC, 17075 Thornmint Ct, San Diego, CA 92127 USA

Marco Pieters, Rudy Peeters, Daniel Smith, Uwe Stamm, Sjoerd Lok, Arthur Minnaert, Martijn van Noordenburg,

Joerg Mallmann, David Ockwell, Henk Meijer, Judon Stoeldraijer, Christian Wagner, Carmen Zoldesi, Eelco van

Setten, Jo Finders, Koen de Peuter, Chris de Ruijter, Milos Popadic, Roger Huang, Roderik van Es, Marcel Beckers,

Hans Meiling, . . . . .

ASML Netherlands B.V., De Run 6501, 5504 DR Veldhoven, The Netherlands

11/17/2016

Slide 4

Confidential

Shrink drives need for advanced lithography solutions…Minimum Resolution of Critical Device Features

3D NAND Memory Pipe

2D NANDBit & Word Line

X-pointBit & Word Lines

DRAM Bit line

Foundry Metal interconnect

Year Production Start

Min

imu

m R

es

olu

tio

n,

Half

Pit

ch

[n

m] MPU

Metal interconnect

4nm

6nm

8nm

10nm

30nm

50nm

70nm

20nm

Minimum resolution

Effective 3D resolution

3D NANDHalf pitch / # stacks

X-pointHalf pitch / # stacks3nm

24

192

12896

48

32

xx Number of 3D or x-point stacks

2

4

864

0

2

4

6

8

2014 2016 2018 2020

Requirements for Overlay & Focus are “challenging”O

ve

rla

y [

nm

]

Fo

cu

s [n

m]

1 Range for Logic & Memory critical layers 2 Range for EUV & ArF immersion foundry production wafer focus control requirements with process window enhancement techniques.

On Product Overlay

Matched Scanner Overlay

Application & Process

0

20

40

60

80

100

2014 2016 2018 2020

On Product Focus Control

Scanner Focus Control

Application & Process

Holistic Immersion Focus Control RoadmapHolistic Overlay Roadmap

Year HVM 2014 2015 2016 2017 2018 2019 2020

Logic - Foundry

Logic - MPU

DRAM

NAND planar & 3D

X-Point

On-Product Overlay1 5.0 ~ 4.0 nm 5.0 ~ 3.5 nm 5.0 ~ 3.5 nm 4.5 ~ 2.5 nm 3.5 ~ 2.5 nm 2.5 ~ 2.0 nm 2.5 ~ 1.8 nm

On-Product Focus2 90 ~ 60 nm 80 ~ 60 nm 70 ~ 60 nm 60 ~ 50 nm 60 ~ 50 nm 50 ~ 40 nm 50 ~ 40 nm

20 nm 16 – 14 nm 10 nm 7 nm 5 nm

22 nm 14 nm 10 nm 7 nm

D2xM D2xL D1xM D1xD1xH

16 nm 3D x4819 nm 3D x24 14 nm 3D x643D x32 3D x96 3D x128 x192

2x x2 2x x4 1x x4 1x x8

Production

Ramp

R&D

Roadmap

June 22, 2016

Public

Slide 5

Slide 6

Public

ASML’s holistic shrink roadmap: DUV-EUV coexistence

Extend DUV to

support multiple

patterning

Introduce EUV to

volume

manufacturing

Support both with a suite of process window

enhancement, control and calibration products

12 Jan 2016

Public

Slide 7

EUV – Why It Helps

EUV single exposure replaces immersion multiple patterning2D-Metal at 32nm pitch achieved with Quasar illumination

ArFi LE3

(triple patterning)EUV Single Exposure

Quasar illumination

Pupil Fill ratio 20%

In cooperation with IMEC

Dose: 20 mJ/cm2

April 2016

Slide 8

Confidential

48nm pitch / 24nm CD Quasar,

Pupil Fill ratio 20%

32nm pitch / 16nm CD

Slide 9

Public

EUV: The overall economic value to Customers

Per-layer patterning cost comparisonEUV clear winner for critical layers (3x ArFi immersion and above)

Steeper ramp to higher yieldFewer multiple patterning layers mean higher yield (up to 9%)

1

DRAM example: 78% vs 62% bit growth

In a full fab, EUV enables higher output5

3

Shorter cycles of learning = faster time to marketSingle-expose layers reduce process complexity (6-month gain)

2

Superior electrical propertiesBetter binning

4

May June July Aug Sept Oct Nov Dec Jan Feb Mar Apr May June

Incr

eas

ing

Yie

ld

1D-ArFi

1D-EUV

2D-EUV

Slide 10

Public

Faster yield ramp with EUV means

faster time to market

A simpler process goes through yield ramp faster

6 months

2

Slide 11

Public4

Electrical data confirms: EUV enables

superior devices

SADP: Self aligned double patterning

12 Jan 2016

Public

Slide 12

EUV – Where are we now

Customer Confidence in EUV continues to increase

• 125W configuration at customer sites

• 210 Watts of dose-controlled EUV power demonstrated

Source power

• Five customer systems have achieved a four-week average availability of more than 80%; however, consistency still needs to be improved

Availability

• More than 1,200 wafers per day (wpd) exposed on NXE:3350B at a customer site

• Peak performance near target of 1500 wpd (achieved 1488 wpd at ASML)

Productivity

Public

Slide 13

DRAMLogic 55 WPH 125 WPH 185 WPH145 WPH

NXE:3300B

NXE:3350B

NXE:3450C

High NA

7

3.0/5.0 nm

1.4/<2.0 nm

7.0 nm

2.5 nm

DCO/MMO OPO

*

110 nm

60 nm

Focus*

NXE extension roadmap to optimize capital efficiency

1.0/<1.4 nm 1.5 nm<40 nm

1.5/2.5 nm 3.5 nm70 nm

1.2/<1.7 nm 2.0 nm50 nm

R&D

D1M

D1L

SNEP 3350B

NXE:3400B5

<D1L

3.x

20% PFR illuminator

2~2.5

Products under study

D1H

2017

2019

2015

2021

2013

Roadmap: July 2016

1st system

shipment

22 nm

13 nm

<8 nm

16 nm

13 nm

Half

pitch

UVLS

UVLS – Mk2

Orion 200

500W source

Anamorphic lens

Stages, handlers

250W LPP

350W LPP

Lens thermal

PublicSlide 14

OFP 3350B-3400B

* On product overlay (OPO) and focus are not ATP specs, but required performance for

specific customer nodes to be achieved including Application and DUV configuration

12 Jan 2016

Public

Slide 15

EUV – Installed Base

NXE:3350B: 2x overlay improvement at 16nm resolutionSupporting 7nm logic, ~15nm DRAM requirements Public

Slide 16

Resolution 16nm

Full wafer

CDU< 1.3nm

DCO < 1.5nm

MMO < 2.5nm

Focus

control< 70nm

Productivity≥ 125

WPH

Overlay

Imaging/Focus

Productivity

Reticle StageBetter thermal control

increased servo bandwidth

Projection OpticsHigher lens transmission improved

aberrations and distortion

Off-Axis Illuminator

FlexPupil

Overlay set upSet-up and modeling

improvements

SMASH sensorImproved alignment

sensor

Spotless NXEAutomated wafer table

cleaning

New UV level

sensor

Wafer StageImproved thermal

control

Improved air mounts

>405k wafers exposed on NXE:3300B at customer sitesCurrently 8 systems running in the field

Public

Slide 17

0

50,000

100,000

150,000

200,000

250,000

300,000

350,000

400,000

450,000

20

14

05

20

14

09

20

14

13

20

14

17

20

14

21

20

14

25

20

14

29

20

14

33

20

14

37

20

14

41

20

14

45

20

14

49

20

15

01

20

15

05

20

15

09

20

15

13

20

15

17

20

15

21

20

15

25

20

15

29

20

15

33

20

15

37

20

15

41

20

15

45

20

15

49

20

15

53

20

16

04

20

16

08

20

16

12

20

16

16

20

16

20

To

tal n

um

be

r o

f w

afe

rs e

xp

osed

Week

NXE:3350B: 125W settings qualified

Mean pulse energy at

Intermediate Focus ~3mJ

EUV power at

Intermediate Focus 125W

Energy control Overhead ~20%

Public

Slide 18

Progress in source power supporting productivity

roadmap to >125 WPHPublic

Slide 19

2008 2010 2012 2014 20160

20

40

60

80

100

120

140

160

180

200

220

240

3100 NOMO (delivered)

3100 MOPA (not shipped)

3100 MOPA+PP (not shipped)

3300 MOPA+PP (delivered)

3400 MOPA+PP (development)

Dose c

ontr

olle

d E

UV

pow

er

(W)

Year

210W with dose in specifications

obtained on development sourceCE~5.5%

12 Jan 2016

Public

Slide 20

EUV – Installed Base:

more than power alone

Process: resist towards 16nm resolution at 125 WPH19% EL, 4.4nm LWR @18.5mJ/cm2. Also 13nm resolved with 17% EL and 4.2nm LWR @31mJ/cm2

NXE:3350B 16nm Horizontal Dense lines/spaces 13nm Horizontal Dense lines/spaces

Reference CAR

New formulation

CAR

New Inpria resist

(NTI non-CAR) CAR

New Inpria resist

(NTI non-CAR)

SEM image

@BE/BF

Dose 40 mJ/cm2 25 mJ/cm2 18.5 mJ/cm2 ~40 mJ/cm2 31 mJ/cm2

Exposure Latitude 16 % 16 % 19 % - 17 %

DoF 145 nm 100 nm 125 nm - 150 nm

LWR 4.6 nm 5.2 nm 4.4 nm 4.5 nm 4.2 nm

LWR = Line Width Roughness

DoF = Depth of Focus

EL = Exposure Latitude

BE/BF = Best Energy/Best Focus

CAR = Chemically Amplified Resist

Slide 21

Public

NXE:3350B overlay and focus performanceWell in specification due to HW improvement and new calibrations

Dedicated chuck overlay [nm] Matched machine overlay [nm] Focus uniformity [nm]

Slide 22

Public

NXE:3350B matched machine overlay with NXT:1980Di <2.8nm

Overlay 9

9.7

% (

nm

)

Wafer number

Lot (x: 2.8nm, y: 2.5nm)

Slide 23

Public

Slide 24

Public

NXE Pellicles are being mounted and used in scanners

Prototype pellicle on early integration mounting tooling

12 Jan 2016

Public

Slide 25

• EUV source architecture, performance ,

power scalingThe beauty of the ASML/CYMER EUV Source

Slide 26

Public

EUV Source Architecture, Sn LPP MOPA with Pre-pulse

Source Pedestal

Scanner Pedestal

Fab FloorFab Floor

Sub-fab Floor

Scanner

metrology for

source to

scanner

alignment

CO2 system

Tin catch

Vessel

Vanes

Tin Droplet

Generator

Collector

Beam

Tra

nsp

ort

Power Amplifiers PP&MP Seed unit

Inte

rmed

iate

Fo

cu

s U

nit

xz

Collector

On-droplet Gain Optimization

High Power

Seed System

Laser / EUV dose

Controls

High Power

Amplification Chain

Laser Metrology,

MP PP Focusing

3

12

Slide 27

Public

EUV LPP Source Key Technologies

Slide 28

Public

Source power and availability drive productivity

Productivity = Throughput(EUV Power) Availability

Source power

from 10 W to > 250 W

Drive laser power from 20 to 40 kW

Conversion efficiency (CE) from 1 to 6%

Dose margin from 50 to 10%

Optical transmission

Source availability

Drive laser reliability

Droplet generator reliability & lifetime

Automation

Collector protection

EUV Power= (CO2 laser power CE transmission)*(1-dose overhead)

Raw EUV power

Technology development work is ongoing to improve all aspects

0

20

40

60

80

100

120

140

160

180

200

NOMO and NXE:3100drive laser

MOPA+PP andNXE:3100 drive laser

MOPA+PP andNXE:3300B Drive

Laser

MOPA+PP HighPower Amplification

Chain

Lase

r P

ow

er

(kW

)EU

V P

ow

er

(W)

Do

se O

verh

ead

(%

)

Slide 29

Public

EUV power scaling through 2016

EUV power ~ CO2 power * Conversion Efficiency * (1-Dose Overhead)

CE=0.8%

CE=2.5%

CE=3.5%

CE=5.5%

45%

30% 1

7%

10%1

5kW

12

kW

8kW

10W

50W

100W

20

kW

210W

Slide 30

Public

Conversion efficiency: Optimizing pre-pulse to create a

more efficient targetTarget expansion fills main pulse beam waist

Prepulse(low energy)

Mainpulse(high energy)

Target shape changes

from droplet to disk

0

1

2

3

4

5

6

7

Slide 31

Public

Increased conversion efficiency with Pre-pulse

no PP small disk large disk partial-cloud cloud

Convers

ion e

ffic

iency (

%)

by optimization of target size, shape and density

EUV CE ~6% demonstrated on development platforms

Slide 32

Public

Plasma scale length (Z) is the key to increase its volume Volume-distributed laser absorption enhances CO2 laser deposition in plasma

Schematic diagram of traditional LPP Hydrodynamic simulation of CO2 Sn LPP

SPIE 2016, 97760K-1, Michael Purvis

“Advances in predictive plasma formation modelling”

laser

n

Te

nc

Corona Energy

transport

Mass flow

Heat, radiation flow

Laser

Laser, e, ions

absorptionablation

EUV

Z

10+

Te Z

ne ni

12 Jan 2016

Public

Slide 33

• The Drive Laser, Droplet Generator

And collector

Slide 34

Public

CO2 laser power scaling to scale EUV powerEfficient CO2 laser pulse amplification

3300 CO2 drive laser

Throughput, WPH 125 145 185

EUV power (W) 250 350 500

CO2 lase power (kW) 27 30 40

0

5000

10000

15000

20000

25000

30000

35000

0 50 100 150 200 250

Laser

po

wer

(W)

Laser pulse duration (ns)

Slide 35

Public

Droplet Generator, Principle of Operation

• Tin is loaded in a vessel & heated above melting point

• Pressure applied by an inert gas

• Tin flows through a filter prior to the nozzle

• Tin jet is modulated by mechanical vibrations

Nozzle

Filter

ModulatorGas

Sn

0 5 10 15 20 25 30-10

-5

0

5

10

Dro

ple

t p

ositio

n, m

Time, sec

140 m 50 m 30 mPressure: 1005 psi Frequency: 30 kHz Diameter: 37 µm Distance: 1357 µm Velocity: 40.7 m/s

Pressure: 1025 psi Frequency: 50 kHz Diameter: 31 µm Distance: 821 µm Velocity: 41.1 m/s

Pressure: 1025 psi Frequency: 500 kHz Diameter: 14 µm Distance: 82 µm Velocity: 40.8 m/s

Pressure: 1005 psi Frequency: 1706 kHz Diameter: 9 µm Distance: 24 µm Velocity: 41.1 m/s

Fig. 1. Images of tin droplets obtained with a 5.5 μm nozzle. The images on the left were obtained in

frequency modulation regime; the image on the right – with a simple sine wave signal. The images

were taken at 300 mm distance from the nozzle.

Short term droplet position stability σ~1m

16 m

Slide 36

Public

Forces on Droplets during EUV Generation

High EUV power at high repetition rates drives requirements for

higher speed droplets with large space between droplets

Slide 37

Public

High Speed Droplet Generation

Tin droplets at 80 kHz and at different applied pressures.

Images taken at a distance of 200 mm from the nozzle

Pressure (Speed)

3.5 MPa (26 m/s)

6.9 MPa (40 m/s)

13.8 MPa (58 m/s)

27.6 MPa (84 m/s)

41.4 MPa (104 m/s)

55.2 MPa (121 m/s)

1.5 mm

Slide 38

Public

EUV Collector: Normal Incidence

• Ellipsoidal design

• Plasma at first focus

• Power delivered to exposure tool at second focus (intermediate focus)

• 650 mm diameter

• Collection solid angle: 5 sterrad

• Average reflectivity: > 40%

• Wavelength matching across the entire collection area 5sr Normal Incidence Graded

Multilayer Coated Collector

Slide 39

Public

Collector Protection

Sn droplet /

plasma

H2 flow

Reaction of H radicals with Sn

to form SnH4, which can be

pumped away.

Sn (s) + 4H (g) SnH4 (g)

• Hydrogen buffer gas causes

deceleration of ions

• Hydrogen flow away from collector

reduces atomic tin deposition rate

Laser beam

IF

Sn

catcher

DG

EUV collector

Temperature controlled

• Vessel with vacuum pumping to

remove hot gas and tin vapor

• Internal hardware to collect micro

particles

Slide 40

Public

Collector Lifetime on NXE

About 4 months

-0.4%/Gp: observed at a customer

Slide 41

Public

250W feasibility proven without increase in protective Hydrogen flowNo rapid collector contamination, allowing stable droplets and >125 w/hr@20 mJ/cm²

~200W dose controlled power

SummarySlide 43

Significant progress in EUV power scaling,

- CE is up to 6 %

- Dose-controlled power is up to 210 W

CO2 developments support EUV power scaling,

- Clean (spatial and temporal) amplification of short CO2 laser pulse

- High power seed-table enables CO2 laser power scaling

Significant progress made in Source Availability

- >80% source availability in the field

- >1000 hrs droplet generator runtime

- >100 Gp collector lifetime

Public

Acknowledgements:Slide 44

Public