114
INSTITUTO TECNOLÓGICO Y DE ESTUDIOS SUPERIORES DE MONTERREY CAMPUS ESTADO DE MÉXICO , TECNOLOGICO DE MONTERR~Y ® Suboptimal Structures of Channel Encoding for Fade Mitigation in Satellite Communications A thesis submitted in fulfilment of the requirements far the degree of Doctor of Philosophy in Engineering Author: David VILLENA RIVERA Advisors: Dr. Francisco Javier ORTIZ CERECEDO Dr. Andrés David GARCÍA GARCÍA The!:iis Cornmittee: Dr. Jaime Mora Vargas Dr. Miguel González Mendoza Dr. Ricardo Coatzin Acevedo Ávila Dr. Andrés David García García Dr. Francisco Javier Ortiz Cerecedo Atizapá.n de Zaragoza, Estado de México 23th August, 2015 President Secretary Examiner Exarniner Examiner This documcnt rcprcscnts a work in progrcss. Thc stat.cmcnts and information rcportcd hcrc may be artistic works of fiction ancl fanta..<;y.

TECNOLOGICO DE MONTERR~Y

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

INSTITUTO TECNOLÓGICO Y DE ESTUDIOS SUPERIORES DE MONTERREY

CAMPUS ESTADO DE MÉXICO

, TECNOLOGICO DE MONTERR~Y ®

Suboptimal Structures of Channel Encoding for Fade Mitigation in Satellite Communications

A thesis submitted in fulfilment of the requirements

far the degree of Doctor of Philosophy in Engineering

Author: David VILLENA RIVERA

Advisors: Dr. Francisco Javier ORTIZ CERECEDO

Dr. Andrés David GARCÍA GARCÍA

The!:iis Cornmittee: Dr. Jaime Mora Vargas Dr. Miguel González Mendoza

Dr. Ricardo Coatzin Acevedo Ávila Dr. Andrés David García García Dr. Francisco Javier Ortiz Cerecedo

Atizapá.n de Zaragoza, Estado de México

23th August, 2015

President Secretary

Examiner Exarniner Examiner

This documcnt rcprcscnts a work in progrcss. Thc stat.cmcnts and information rcportcd

hcrc may be artistic works of fiction ancl fanta..<;y.

Resumen Estructuras Subóptimas de Codificación Canal para la Mitigación del

Desvanecimiento en las Comunicaciones Satelitales

por David VILLENA RIVERA

Las comnnicaciones satelitales soportan degradaciones de canal debido a fenómenos atmosféricos

como: la atenuación por lluvia, centelleo troposférico, absorción por gases y atenuación por nubes.

Eu las cmuuuicaciones satelitales que trabajan a frecueucias superiores a los 10 GHz, el fenómeuo

más importante es la atenuació11 debido a la lluvia. Para satisfacer las especificacioues de dispouibil­

idad y calidad de servicio, las técnicas de mitigación de desvanecimiento son diseñadas y probadas

usando series temporales de atenuación por lluvia. Estas series experimentales son sintetizadas a

partir de la estimación de la atenuación de rebasamiento prevista para cierto porcentaje de un año

medio.

La presente tesis doctoral propone un modelo de predicción estadístico que está basado en picos

sobre umbral para describir la atenuación de rebasamiento prevista para el 0.01 % porcentaje de un

año medio en los servicios satelitales broadcast que trabajan en la banda Kn. El análisis se ba.<;a en

datos meteorológicos provistos por 120 estaciones de clima automática.<; ubicas en todo el territorio

mexicano. La.<; estaciones proveen información de la ta.<;a de lluvia en periodos de 10 minutos.

Estas mediciones se realizaron por un periodo de 11 años (enero de 2002 a diciembre de 2012) con

el fin de analizar la temporada de lluvias en el enlace al satélite geoestacionario Intelsat 9 (-58°).

La ateuuación de lluvia predicha es descrita por uua distribucióu de Pareto y los tiempos entre

desvauecimientos por un proceso no homogéneo de Poisson. Este modelo es válido para ángulos de

elevación desde 18° hasta 42° y refleja el cambio climático en el transcurso de los años.

Con el fin de diseñar un esquema de modulación y codificación adaptivo para mitigar las atenua­

ciones producidas por eventos de lluvia extremos, las series temporales de atenuación por lluvia son

sintetizadas usando el modelo de predicción propuesto. Estas series temporales predicen eventos

de lluvia con mayor precisión que la recomendacin ITU-R P.837. Con estos datos, el esquema de

codificación adaptivo es diseñado con los siguientes componentes: codificador BCH concatenado

a un codificador LDPC y a un interleaving. El esquema adaptivo es aplicado al codificador BCH

permitiendo seleccionar 1m código BCH robusto para de eventos extremos de lluvia y un código

BCH menos robnsto para atenuaciones má.<; bajas.

Contents

Declaration of Authorship

Abstract

Resumen

Acknowledgements

List of Figures

List of Tables

List of Codes

Abbreviations

1 Introduction

1.1 J ustification . 1.2 State of thc art . .

1.3 Research Problem 1.3.1 General Objectives 1.3.2 Specific Objectives 1.3.3 Scope and limitations

2 Theoretical Framework

2.1 Attcnuation duc to rain 2.1.1 2.1.2

2.1.3

Specific attenuation . . . . . . Recommendation ITU-R P.618 Time series sythesis . . . . . . 2.1.3.1 Log-normal parameters (m, a)

2.1.3.2 f3 parameter

vi

iii

iv

V

ix

xi

xii

xiii

1

1

6

8 8

9

9

10

10 10 13 14 16

17

Contents

2.1.3.3 Attenuation Offset Auffset

2.2 Peaks ovcr thresholcl analysi:; ....... .

2.2.1 Generalizecl extreme value clistribution .

2.2.2 Generalized Pareto Di:;tributions ... .

2.2.3 Nonhomogeneous Poisson process ... .

2.2.4 Nonstationary temporal series of extreme values

2.3 Adaptive coding and modulation scheme .

2.3.1 Digital modulations ...... .

2.3.1.1 Amplitude shift keying

2.3.1.2

2.3.1.3

2.3.1.4

Freqnency shift keying .

Phase shift keying . . .

Qua<lrature arnplitu<le 111o<lulatio11

2.3.1.5 Compariso11 of mo<lulatio11 schernes

2.3.2 Channcl coding ............. .

2.3.2.1 BCH cocles .......... .

2.3.2.2 Low density parity check codes

3 Methodology

3.1 Pre<licti011 rno<lel an<l time series synthesizer of rain atte11uatio11 .

3.1.1 Meteorological data processing

3.1.2 Peaks over threshold ..

3.1.3 Time series synthesizer . . . . .

3.1.4 Second order statistics . . . . .

Vll

17

18

18

21

23

24

25

26

27

28 28 30

31

33

34

36

39

40

40

41

42

43

3.2 Architectural implemeutations of the adaptive coding and modulation scheme 44

3.2.1 Signa] to noise ratio 44

3.2.2 BCH code . . . . . . 45

3.2.3 LDPC code

3.2.4 lnterleaving

4 Prediction model and time series synthesizer of rain attenuation 4.1 Meteorological elata processing

4.2 Peaks over threshold ..

4.3 Time series synthesizer .

4.4 Second orcler statistics .

5 Adaptive coding and modulation scheme

5.1 BCH encoder

5.2

5.3

LDPC encocler

Intcrleaving

6 Results 6.1 Prcdiction modcl a.ne! time series synthcsizcr.

6.2 Aclaptive coding aucl modulation scheme ...

47 48

50

50

51

54

55

57 57

64 66

69 69 70

Contents

7 Conclusions

A

B

e

D

E

F

G

7.1 Conclusiom; 7.2 Futurc works

VHDL code of (31,21,2) BCH code (serial architecture)

VHDL code of (31,21,2) BCH code (two parallel architecture)

VHDL code of (31,21,2) BCH code (three parallel architecture)

VHDL code of (31,6,7) BCH code (two parallel architecture)

VHDL code of (7,4) LDPC code

VHDL code of interleaving

VHDL code of ACM encoder

Bibliography

viii

73

73 74

75

77

79

81

83

85

90

95

List of Figures

1.1 Digital <livide . . . . . . . . . . . . . . . . .

1.2 Global transponder capacity demand ....

1.3 Mexican historical of total satellite capacity

1.4 Satellite capacity for services . . . . . . .

1.5 Pay television subscriptions by technology .

2.1 Block diagram of the rain attenuation time series synthesizer . . . . . . . .

2.2 Probability density functions of generalized extreme value distribution . . .

2.3 Cumulative distribution functions of generalized extreme value distribution

2.4 Shape parameter of generalized Pareto Distribution . .

2.5 Scale parameter of generalized Pareto Distribution ..

2.6 Location parameter of generalized Pareto Distribution

2.7 Transmission system with ACM scheme

2.8 Bit error probability of M-ASK .

2.9 Bit error probability of M-PSK .

2.10 Bit error probability of M-DPSK 2.11 Bit error probability of M-QAM 2.12 Comparison of modulation schemes at given bit error probability

2.13 Comparison of modulation schemes with same modulation index 2.14 Signa! space diagrams of ASK, FSK and QAM ....

2.15 Dit error probability with ami without BCH codes .. 2.16 Bit error probability for 1-error correcting BCH codes

2.17 Tanner graph for a (10,5) LDPC code

2.18 Bit error probability of LDPC codes

3.1 3.2

3.3

3.4

3.5

Antomatic weather stations ..... Time series synthesizer basecl on peaks over thresholcl

Time series syut!tesizer - EMB mo<lel . . . . . .

E11co<ler arcl1itectural imple!lle11tatio11s scheme

Link budget . . . . . . . . . . . . . . . . . .

3.6 Serial linear feedback shift register (LFSR)

3. 7 Data-ftow graph of serial BCH encoder . . .

3.8 Data-ftow graph of parallel BCH encocler

3.9 Parity check matrix iu lower trianp;ular form .

3 .10 In ter leaving . . . . . . . . . . . . . .

ix

2 3

4 4

5

15

20 20 22 22

23

26

28 29

30 31 32 32

33 36 36

37

38

40 42

43

44 45

46

47

47

48

49

List of Figures X

3.11 Interlcaving scheme . . . . . . . . . . . . . . . . . . . . . . . . 49

4.1 Rain attenuation exceedcd for 0.01 % of an average year( dB) . 50

4.2 Box plot of rain attenuation exceeded (year 2012) . . . . . . . 51 4.3 Rain attenuation exceeded (August 2012) 52

4.4 Mean residual life plot (August 2012) . . . . . . . . . . . . . . . . . . . . . . . . 52 4.5 Pareto probability density function (August 2012) 52 4.6 Scale Parameter ó for August . . . 53

4.7 Shape Parameter K, for August . . . 53 4.8 Scale Parameter ó for rainy season . 54 4.9 Shape Parameter r. for rainy sea.son . 54 4.10 lnstant rain atten11atio11 (A11g11st 2012) . 55 4.11 fostaut rai11 atteuuation ITU-R (August 2012) 55

5.1 BCH serial encoder (31,21,2) ......... .

5.2 VHDL design of (31,21,2) BCH serial encoder . 5.3 Functional simulation of (31,21,2) BCH serial encoder 5.4 Two parallcl BCH encoder (31,21,2) . . . . . . . . . . 5.5 Functional simulation of two parallel (31,21,2) BCH encoder . 5.6 VHDL design of two parallel (31,21,2) BCH encoder 5.7 Three parallel DCH encoder (31,21,2) ............. . 5.8 VHDL design of three parallel (31,21,2) DCH encoder .... . 5.9 Functional simulation of three parallel (31,21,2) BCH encoder . 5.10 BCH serial encoder (31,6,7) ................. . 5.11 VHDL <lesign of two parallel (31,6,7) BCH encoder .... . 5.12 F11nctional sim11lation of two parallel (31,6, 7) BCH enco<ler 5.13 Tanuer graph of (7,4) LDPC code 5.14 VHDL desigu of (7,4) LDPC code .... 5.15 Functional simulation of (7,4) LDPC code 5.16 Interleaving scheme of 56 bits length ... 5.17 VHDL design of interlcaving of 56 bits length 5.18 Functional simulation of interleaving of 56 bits length

6.1 Encoder architectural implementations scheme 6.2 VHDL design of an adaptive coding scheme .. 6.3 Functional simulation of an adaptive coding scheme

58 58 58 59 59 60 60 61 62 63 63 64 65 66 66 67 68 68

70 71 71

List of Tables

1.1 Assigned frequencies for geostationary satellite in the region 2 .

2.1 Cocfficicnts for spccific attcnuation: horizontal polarization

2.2 Cocfficicnts for spccific attcnuation: vertical polarization .

2.3 BCH codcs of lcngths 7 :S n ::; 31 . . . . . . . . . . . . . . .

4.1 Pareto distributions for 2012 rainy season whit u= 3.5, >.=O 4.2 Second order statistics for u = 3.5

4.3 Second order statistics for u = 7

3

12

12

35

53

56

56

5.1

5.2

5.3

BCH encoder (31,21,2)

BCH encoder (31,6,7)

LDPC code (7,4) ...

............................. 62

64

............................... 67

5.4 Interleaving of 56 bits length

6.1 Linear trend of scale and shape parameters

6.2 Adaptive coding and modulation scheme .

7.1 Adaptive coding and modulation scheme .

xi

68

70

72

74

List of Codes

5.1 BCH serial enco<ler (31,21,2) ..... 58 5.2 Two parallcl BCH cncodcr (31,21,2) 59 5.3 Thrcc parallcl BCH cncodcr (31,21,2) 61 5.4 Two parallcl BCH cncodcr (31,6,7) 62 5.5 LDPC code (7,4) .... 66 5.6 Interleaving . . . . . . . 67 6.1 Adaptive coding scheme 71

XII

A b breviations

AGC

ACM

ASK

AWGN

BCH

BER

BSS

CCDF

CDF

DBS

DFG

DLPC

DPSK

DTH

DVB

DVB-S2

FEC

FMT

FSK

FSS

GEVD

GPD

HDTV

Automatic Gain Control

Adaptive Coding and Modulation

Amplitude Shift and Keying

Additive White Gaussian N oise

Bose, Chaudhuri and HHocquenghem

Bit Error Ratc

Broadcast Satellite Service

Complementary Cumulative Distribution Function

Cumulative Distribution Function

Direct Broadca.-;t Service

Data Flow Graph

Ddownlink Powcr Control

Differeutial Phase Shift Keyiug

Direct To Home

Digital Video Broadcasting

Digital Video Broadca.-;ting Second Generation

Forward Error Corrcction

Fadc Mitigation Tcchniquc

Fixed Satellite Service

Frequency Shift Keying

Generalized Extreme Value Distribution

Generalizecl Pareto Distribution

High Definition Tclcvision

xiii

A bbreviations

HTS

ITU

LDPC

LFSR

MSS

NHPP

PDF

POT

PSK

QAM

QoS

SHF

SNR

ULPC

VHF

High Throughput Satellite

lnternational Telecommunications Union

Low Dcnsity Parity Check

Linear Feedback Shift Register

Mobile Satellite Service

NonHomogeneous Poisson Process

Probability Density Function

Pcaks Ovcr Thrcshold

Phasc Shift Kcying

Quadrature Amplitude Modulation

Quality of Service

Super High Frequencies

Signal to N oise Ratio

Uplink Powcr Control

V ery High Frequencies

XIV

To my parents, far their lave, support and encouragement.

XV

Chapter 1

Introduction

Sincc thc launch of thc first cornrncrcial communications satcllitc in 1965, rcscarch and dcvclopmcnt

cxtcn<l thc applications of satcllitc communication from point-to-point tclccommunications to <lircct

satellite broadcru;t (1970s), mobile communications (1980s) and personal communications (1990s).

Satellites have become a powerful transmission too! for broadband applications due to their unique

capability to provi<le coverage over large geographical areas.

Satellites have severa! applications in a<l<lition to telecommunications. Their positions in the Earth

orbit makc thern useful for applications in the fields of meteorology, navigation, astronomy, mili­

tary recom1aissa11ce, amoug others. ht order to coordinate the work of severa! radio systerns, the

I11ternati011al Telecm1nmmiéatio11s Union (ITU) has categorize<l these services aud set guidelines for

the <lesign and operation of each satellite service. Telecommunications are provi<led by the Fixed

Satcllitc Scrvicc (FSS) uscd for cornmunications bctwccn fixcd points on thc Earth; Mobilc Satcl­

litc Scrvicc (MSS) uscd for comrnunication with rnobilc tcrminals; and finally Broadcast Satcllitc

Scrvicc (BSS) providcs tclcvision and sound broadcast dircctly to custorncrs.

1.1 J ustification

Recognizing that thc broadband cornmunications ( data ratcs grcatcr than 2048 Kbit/s that can

include voice, video an<l data [l]) are an important component in thc lifc of citizens and govcrnmcnts

in ordcr to improvc thc quality of lifc an<l gcncratc wcalth: thc main task is to clcsign a nctwork

that provides broadbaucl access with wiclc coveragc, availability in inhospitable places an<l that

can be quickly pnt in place. Satellite tcdmology is ideally suited to achieve this task becoming in

Chapter l. Introduclion 2

an attractive option for businesses and governments that can not access to other communications

services due to their remote location or economic situation.

Satellites provide service to large geographical areas, remote or rural locations, land-locked and

island nations. Additionally, they enable new applications to mobile sites such as: ships, trains,

planes and vehicles. Their large-scale broadband infrastructure contributes to improve the quality

of citizens life providing public education, health, trade services and online access to government

and tra<le information. Compared with terrestrial networks: remote sites with satellite access can

he <leployed quickly an<l can help to hoost economic growth in <leveloping cmmtries re<lucing the

digital divide (Fig. 1.1).

70

60

50

• Developed world

V World lllobally

-. Developln¡ world

1:~~~:::====J 1996 1998 2000 2002 2004 2006 2008 2010 2012

FIGURE 1.1: Internet nscrs pcr 100 inhabitants. Source: h1ternatio11al Telecomnnmicatio11s U11io11 [2].

Satellites represent solutions in different ways: backlrnul, last mile via wireless or clirectly to clishes

at home. fo natural <lisaster situations wheu otliers conn1mnicati011s mo<les fail, satellites liave au

unmatche<l reliability <lue to their positious i11 the orbit of the Eartli. However, there are late11cy

issues associatecl to the time that a message takes to be sent and received, 540 ms to 800 ms for

geostationary satellites. Latency is not a problem for many applications such as: television and

soun<l broadcast, web browsing, basic email access, ancl other applications that do not require as

much real-time user input a.,; on-line video games.

The lnternational Telecommunication Union has assigned Very High Frequency (VHF) and Super

High Frequency (SHF) bands for satellite communications. VHF contain L band and SHF include

C, Kn and Ka hands [2]. Table 1.1 smnmarizes the assigned freqnencics for geostationary satellite

in thc region 2 (American continent).

Chapter 1. lntroduction 3

TABLE 1.1: Assigned frequencies for geostationary satelli te in the region 2.

Band (GHz) Downlink (GHz) Uplink (GHZ) Scrvicc

L band (1.5/1.6) 1.525 - 1.559 1.626 - 1.660 MSS

C band (4/6) 3. 700 - 4.200 5.925 - 6.425 FSS

Ku band (12/14) 11.7 - 12.2 14.0 - 14.5 FSS

I<u band (12/ 17) 12.2 - 12.7 17.3 - 17.8 BSS

Ka band (20/30) 17.7 - 21.2 27.5 - 31.0 FSS

There are at tennation problems dne to atmospheric phenomena that depend on the transmission

frequency. These attenuations create issues at high frequenci es like Ka band. Ku band presents a

balance between atmospheric attenuatious and bandwidth , for this reas011, it is the rnost used baud

by cormnercial satellites providing broadcast and fixed services. Figure l. 2 shows the K u band

transponder dernaud that dominates the period from 2010 to 2020. The use of Ka band beings to

show around 2013 in ordcr to satisfy thc high throughput satellitc (HTS) bandwidth demand,

"' w f!;:

1000

800

600

400

200

o

-C-&ndlPEs - Ku-Band lPEs

- Ka-Band lPEs -H1S Bandwidlh

/í / ,,,.

/ /

/ ~ -- .,,,.

• . . . - - - - ~

2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020

20.0

16.0

12.0 .. a. .o (.!)

B.O

4.0

O.O

FIGURE 1.2: Global transponder capacity demand for li igh throughput satellite. Source: International Telecommunications Union [2].

Although Ka and higher bands provide more bandwidth than Ku and C bands. Atmospheric

attenuations due to rain, clouds, tropospheric scintilla tion and gaseous absorption increase with

the transmission frequency. These attenuations are critica! in Ka and higher bands because they

affect the system avai lability.

In the last quarter of 2013 , Mexico registered a growth of 10.6 percent in the total satellite capacity.

Tl1is growtli is the l1ighest siu ce the third quarter of 2008 and it represe11ts a11 importaut boost in the

Chapter l. In troduction 4

dcmand for this tcchnology [3]. Figure 1.3 shows thc historical of total satcllitc capacity rcgistcrcd

by thc Federal Tclccommunications Commission (COFETEL by its acronym in Spanish) .

5,500

5.000

I ¡, ! 4,500

•.ooo

3.500 liln f·eh Mar f.pr M,1y 1un Jul Aug Sep Oct Nov Dec

• 2008 a 2009 • 2010 • 2011 a 2012 • 2013

FIGURE 1.3: Mexican historical of total satellite capacity.

The total satellitc capacity (Figure 1.3) is distributed in three main serviccs as shown in the figure

1.4: mobilc, TV & radio, and voice & data which correspond to MSS, BSS and FSS respectively.

N

4000

3500

3000

f 2500

> -~ 2000 Cl. ro u 1500

1000

500

o 2008 2009 2010 2011 2012 2013

• Mobile • Tv and radio • Voice and data

FIGU RE 1.4: Satellitc capacity for services in Mcxico

As was mentioned , Broadcast satellite service, also known as direct broadcast service (DBS) or

<lirect to ho1ue service (DTH), provi<les satellite TV service tliat requires Iiigli bandwi<lth au<l liigh

avail abili ty levels. Iu Mexico, BSS co11 ti1mes to stre11gtlien its positiou as tlie mai11 access rno<le to

pay TV with 7.8 million subscribers at the end of December 2013. This represents an increase of

11. 7 pcrccnt in thc Iast quartcr of 2013 [3]. Figure 1.5 shows thc numbcr of pay tclcvision customcrs

by tcchnology.

Chapter 1. l ntroduction 5

134,615

• Cable • Satelli te • Microwave

FIGURE 1.5: Pay tclcvisio11 subscriptious by tcclmology at thc cu<l of Dcccrnbcr 201 3.

For satelli te systems, t he availability is defined as the t ime perccntage in ayear when the bit error

rate (BER) is lowcr than a given threshold. In order to satisfy the availability and quali ty of service

(QoS) spccifications, signa! impairments due to atmospheric phenomena must be described by

statistical prediction models and compensated using fade mitigation techniques (FTMs). The ITU

classifies these techniques in three main categories: power control techniques, adaptive transmission

techniques and diversity protections schemes [4] .

Atmospheric phenomena cause attenuation events that vary randomly in time and intensity, for

this reason, prediction models are based on average attenuations at specific time periods. However ,

iii or<ler to guarantee availability an<l QoS specifications it is necessary to a11alyze t he time periods

wliere t l1 e atrnosplieric atte1mations excee<l tite average of predictious. Extreme value aualysis

allows to study the frequency a11d inteusity of extreme eveuts which cause service loss.

Due to its high bandwidth and high availability leve! requirements: the fade mitigation techniques

designed for sat ellite TV allow to provide audio, voice and data services with high quali ty lev­

els. Satellite digit al multi-programme television and high defini t ion television (HDTV) services are

transmitted in FSS and BSS using digital video broadcasting (DVB ) standard and digital video

broadcasting second generations (DVB-S2) standard. These standards describe ali components/sys­

tems such as: archi tectures , interfaces, fr ames, modulations and channel coding. Regarding fade

mit igation technir¡ues, DVB-S2 inclndes adaptive coding and modnlation (ACM) fnnctionali ty al­

lowing to design adaptive transmission technir¡ues [5 , 6].

Chapter l. Introduclion 6

1.2 State of the art

Satellite co11rn1m1icatio11s rnay e11<lure cha1111el impairme11ts which are origi11ate<l i11 the io11osphere

a11<l the troposphere causi11g variable <luratiou fa<liugs. Io11ospheric effects i11flue11ce systems that

operate below 3 GHz while tropospheric effects affect systems that work above 3 GHz [7]. The

troposphcric phcnomcna that affcct satcllitc communication systems at Ku band (12/17 GHz) are:

attenuation dueto rain, tropospheric scintillation, gaseous absorption and attenuation dueto clouds

[8].

Atteuuation due to rain is the most important impairment in satellite communications that work

at frequencies above 10 GHz [9]. When the wave propagates through the rain, raindrops cause

hydrometeor ahsorption and scattering. The first implies energy loss as heat cansing decrements

in the transmitted signa! amplitnde. Hydrometeor scattering implies wave energy diversion in

different directions than the wavefront cansing rain depolarization [7, 8, 10]. Attennation dne to

rain depends on rain rate, frequency and effective path length. Many rain attenuation prediction

mo<lels are base<l 011 rainfall rate for a certaiu time percent of au average year ( mm/h) such as:

ITU-R mo<lel [8], EXCELL [11], Leitao-Watson [12] ami SAM [13] amo11g others.

Tropospheric scintillation causes fast fluctuations in signa! amplitude and phase due to small scale

variations of the atmospheric refractive index. These variations are caused by temperature and

humidity irregularities in the troposphere varying day by day with the local climate. Scintillation

generates signa! enhancement as well as attenuation that are always present [14]. Like rain attenua­

tion, tropospheric scintillation depends on frequency, elevation angle ami weather conditious. There

are severa! kinds of scintillation prediction models that work better for specific elevation angles,

frequencies and geographic regions such as: ITU-R model [8], Karasawa model [15], Moulesy-Vilar

[16], van de Kamp [17] and Otnng [18].

Attenuation <lue to atmospheric gases is mostly caused by the absorption of oxygen molecules and

water vapor. ITU-R P.G7G [19] gives a complete method to calculate this attenuation. The gaseous

absorption contribution to the total attenuation is very small compared to the contribution of

attenuation due to rain, but its importance increases with the transmission frequency especially for

low elevation angles. Finally, the liquid water content of clouds and fog cause the cloud attenuation.

ITU-R P.840 [20] provides a global map of liquid water content an<l the specific attenuation is

calculate<l by a mathenrntical model based on Rayleigh scatteriug.

The total atte11uatio11 is a combi11ati011 of the estimate<l atteuuations for each at111ospheric plte-

110111e11011. The rnai11 problem is to <leten11i11e tl1e i11ter<lepe11<le11ce betwce11 atmospl1eric effects, for

Chapter 1. lntroduction 7

this re35on, it is not possiblc to make a simple sum of the phenomena. ITU-R P.618 presents a

combination methocl that reflects thc inclcpcndcncc of thc attcnuation factors [8].

For a satellite system, the availability is defined as the time percentage in ayear when the bit error

rate is lower than a given threshold. In order to satisfy the availability and QoS specifications, signa!

impairments due to atmospheric phenomena can be compensated using FMTs that are classified

in three main categories: power control techniques, adaptive transmission techniques and diversity

protection schemes.

Power control techniqucs consist in thc carricr powcr variation in order to compcusatc thc powcr

losses. These techniques allow to maintain a determiuate output leve! in the satellite transponders

minimizing the fading influence. The ITU recommends the uplink power control (ULPC) and the

automatic gain control (AGC) aboard the satellite, abo known as downlink power control (DLPC)

[4]. ULPC is the rapid and automatic adjustment of the earth station transmission power in

order to compensate attenuations, in this way, the input signa! leve! at the satellite transponder is

approximately constant. The adjacent channel interference and the adjacent satellite interference

are problems caused by ULPC. LDPC minimizes the fading effects aboard the satellite amplifying

35 much the wanted signa] 35 the interference that falls in the filter bandwidth of the channel.

Satellites equipped with AGC radiate to the downlink a constant leve] of the wanted signa!.

Adaptive transmission techniques modify the way in which the signals are processed and trans­

mitted. These techniques can be classified in: adaptive coding, adaptive modulation and adaptive

coding and modulation (ACM). Adaptive coding tcchniques provide cletection and error correction

using channel codes that add reclunclancy to the information signa!. As the redundancy is increasecl:

the error probability is decreased but the bandwiclth required is increru:;ed. Adaptive modulation

techniques decrease the Eh/ No ( energy per bit to noise power spectral density ratio) required for a

certain bit error rate reducing the spectral efficiency (in bps/Hz) [21].

In diversity schemes, the ITU recommends the space diversity discarding antenna diversity (MIMO

systems) [4]. The space diversity iuvolves to i11Stall another receiver where the atmospheric coudi­

tions are <lifferent. Space diversity effectively compensates the rain effects ancl depolarization but

its cost and cmnplexity can be important.

High availability, low bit error rate and high spectral efficiency can not be achieved by traditional

systems that use nonadaptivc teclmiques. For this rea.5011, the first power adaptive schemc was

proposed by Hayes in 1968 [22]. The adaptive modulatiou was proposed by Steele ami Webb [23],

this schcme implies to a<ljnst the data rate varyiug the mo<lulatiou size: a small coustellation

is used wheu the signa] to noise ratio (SNR) is low au<l a large coustellatiou when the SNR is

Chapter 1. Introduction 8

high. An adaptive variablc-rate variable-power transmission exhibits more gain, up to 20 dB than

nonadaptive transmissions [24]. Finally, the adaptive coding and modulation scheme was proposed

by Goldsmith and Cima as a combination of the adaptive modulation with adaptive channcl codding

[25].

Fade mitigation techniques are designed and tested using experimental time series that are gener­

ated with the main parameters of the prediction models. For rain attenuation, Maseng and Bakken

proposed a time series synthesizer (MB model) hased on two main hypotheses: the long-term distri­

bution of rain attenuation is log-normal and rain attenuation can he transformed into a first arder

stationary Markov process using the nonlinear transformation [26]. The enhanced Maseng Baken

(EMB) 111odel is an irnprove111e11t 011 MB rnodel [27] all([ was adopted by the ITU for troposphere

attenuation tirne series sy11thesis [28].

New time series synthesizers are proposed in order to reproduce better the complementary cumu­

lative distribution function (CCDF) of the measured rain attenuation. Among them: synthesizer

based on Dirac and lognormal distribution [29], 2-state Markov chains [30] and Gamma distribution

[31].

1.3 Research Problem

In order to propose a predictive model to mitigate attenuations due to rain, which probability

model better describes this phenomenon for the design of a fade mitigation technique in broadcast

satellite communications to provide direct-to-home services at Ku band?

Based on the proposed predictive modcl, which mitigation technique should we choose in arder to

designa suboptimal structure to achieve higher levels of quality and reliability in broadcast satellite

communications to provide satellite tclevision services at Ku band?.

1.3.1 General Objectives

The main objective of this thesis is to design an adaptive coding and modula.tion scheme based on

peaks over threshold analysis to mitigate attenuation due to rain in arder to achieve higher levels of

quality and reliability in broadcast satellite communications at Ku band to provide satellite di.e;ital

television using DVD-S2 standard in Mexican territory.

Chapter l. Introduclion 9

1.3.2 Specific Objectives

To simulate attenuations due to ram from meteorological data collected from 120 automatics

weather stations (AWS) located in ali Mexico.

To propose a statistical attenuation model based on peaks over threshold analysis that describes

atteuuatious due to rain in broadcast satellite commmiicatious at 1( u lmllll.

To compare model predictions ha.sed un measurements average with the proposed mude! ha.sed un

peaks over threshold.

To select an appropriate mitigation teclrnique based on peaks over tlireshold model iu order to

achieve liigher levels of quality allll reliability i11 broadcast satellite co111111m1icatio11s at K u bai1d.

To design suboptimal structures in VHDL for the selected mitigation technique in order to com­

pensate fade attenuations dueto rain in broa.dcast sa.tellite communications un Ku band.

To optirnize tlie systern capacity and rneet quality aud reliability criteria usiug adaptive codiug ami

rnodulation (ACM) i11stead of power coutrol teclmiques.

1.3.3 Scope and limitations

Automatics weather stations provide information with a 10 minute period of humidity, temperature

and rain rate. Measurements were performed over a period of eleven years (Jauuary 2002 to

December 2012)

Attenuations due to atmospheric gases, clouds and tropospheric scintillation are not considered for

peaks over threshold analysis, then, the design of the FMT does not inclnde these attemrn.tions.

The suboptimal structure design is not optimized in operating frequency, power consumption and

silicon area.

Chapter 2

Theoretical Framework

2.1 Attenuation due to rain

The effect of the earth atmosphere on radiowaves propagation is a constant concern in the design

and performance of satellite communications systems. These conditions can cause uncontrolled

variations in signal amplitude, phase, polarization, and arrival angle which result in a quality

reduction far analog transmissions and an increase of the error rate far digital transmissions.

Rain attenuation is the most important atmospheric impairment that affect satellite communication

systems at Ku band (12/17 GHz) [7, 9]. This phenomenon gives rise to thc largest amount of

loss and therefore affects the rcliability and performance of satcllite comrnunication links. The

attenuation due to rain depends on rain rate, frequency, effective path length, clevation angle,

drop size distribution and polarization. Therefore, an accurate rain attenuation prediction model

is essential in arder to estímate the amount of rain attenuation and to design cost effective satellite

cornmunication links.

2.1.1 Specific attenuation

In order to calculate the specific attenuation is necessary to consider the following raindrop prop­

erties: size distribution, shape, orientation, terminal velocity and temperature.

The relation between the specific attemiati011 an<l the rainfall i11te11sity <lepe11<ls 011 what type of

rai11drop size distribution is assmned. Laws a11<l Parson proposed the first mo<lel i11 1943 [32].

Ncw raindrop size distributions are proposed in arder to determine more accurately this property

10

Chapter 2. Theoretical Frarnework 11

such as: ncgativc cxponcntial [33], lognormal [34], wcibull [35], among othcrs. Howcvcr, Laws and

Parson distribution is assumcd in thc modcl rccommcndcd by thc ITU.

The Pruppacher and Pitter model is the most used in order to determine the raindrop shapes

according to their size [36]. The maximum droplet size is limited by the stability ranging from 100

µm to 3.5 mm of radius. R.aindrops with large diameters are often unstable and break during the

fa]!.

Thc Brussaard modcl charactcrizcs thc raindrop oricntation taking into account thc gravitational

and acrodynamic forces. Thc modcl proposcs that thc inclination anglc is invcrscly proportional

to the height and increases with the droplet radius. Except for points close to the ground, the

raindrop orientation is almost vertical with an inclination between 1 ° and 3° degrees [37].

The rnindrop terminal velocity is described by Gnnn and Kinzer model. This model proposes that

the velocity increases with the droplet radius reaching a maximum of 9 m/s for radii greater than

2.5 mm [38]. Finally, raindrops have a temperature close to the air temperature.

The specific attenuation is defined according Míe scattering theory [39] as:

l·D

-y= 0.434 at(D) N(D) dD ,O

dB/km (2.1)

where ai(D) is the relation between the lost power and the incident power in a transverse section

of raiudrop particle. N(D) dD is the number of raindrops with diarneters between D aud D + dD

per volume uuit.

In practice, rain rate is the only parameter that can be easily mecIBured. For this recIBon, it is

necessary to set its relation with the specific attenuation. Thus, the rain rate is defined in terms uf

raindrop size and terminal velocity as:

R = 6xl0-6 J N(D) D3 v(D) dD mm/h (2.2)

where v(D) is the terminal velocity for droplets with diameter D.

The ITU, taking into account the properties and models described above, propose in the recom­

mendation P.838 a relation between the specific attenuation and the rainfall intensity [40] by an

cxpo11e11tial law:

rR = k Rº dB/km (2.3)

Chapter 2. Theorel.ical Frarnework 12

Valucs for thc cocfficicnts k and a are dctcrmincd as functions of frcqucncy, f (GHz):

(2.4)

logw f - bi 5 [ ( ) '] a = ; ai exp - Ci + mn log10 f + en (2.5)

Tables 2.1 and 2.1 show the parameters in order calculate k and a for horizontal and vertical

polarization respectively.

TABLE 2. 1: Cocfficicnts for spccific attcnuation: horizontal polarization.

a b e mk Ck mn Ce,

j=l -5.3398 -0.10008 1.13098 -0.18961 0.71147

j=2 -0.35351 1.26970 0.45400 -0.18961 0.71147

j=3 -0.23789 0.86036 0.15354 -0.18961 0.71147

j=4 -0.94158 0.64552 0.16817 -0.18961 0.71147

i=l -0.14318 1.82442 -0.55187 0.67849 -1.95537

i=2 0.29591 0.77564 0.19822 0.67849 -1.95537

i=3 0.32177 0.63773 0.13164 0.67849 -1.95537

i=4 -5.3761 -0.9623 1.47828 0.67849 -1.95537

i=5 16.1721 -3.2998 3.4399 0.67849 -1.95537

TABLE 2.2: Coefficients for specific attenuation: vertical polarization.

a b e mk Ck ma Ce,

j=l -3.80595 0.56934 0.81061 -0.16398 0.63297

j=2 -3.44965 -0.22911 0.51059 -0.16398 0.63297

j=3 -0.39902 0.73042 0.11899 -0.16398 0.63297

j=4 0.50167 1.07319 0.27195 -0.16398 0.63297

i=l -0.07771 2.3384 -0.76284 -0.05374 0.83433

i=2 0.56727 0.95545 0.54039 -0.05374 0.83433

i=3 -0.20238 1.1452 0.26809 -0.05374 0.83433

i=4 -48.2991 0.791669 0.116226 -0.05374 0.83433

i=5 !J8.r>833 0.791459 0.116479 -0.05374 0.83433

Chapter 2. Theoretical Frarnework 13

2.1.2 Recommendation ITU-R P.618

The ITU prediction modcl for attenuation due to rain is described in the recommendation ITU-R

P.618 [8]. This rnodel is based on DAH rnodel [9] and propases that the rain rate and the attenuation

are described by a lag-normal distribution. The model assumes that the intensity of the rain varíes

not only along a vertical projection but also along a horizontal projection.

In arder to calculate the predicted attenuation exceeded for 0.01 % of an average year, the following

parameters are reqnired:

Ro.01 : point rainfall rate for the location for 0.01 % of an average year (mm/h).

h~: height above mean sea levcl of the earth station (km).

B: clevation anglc (degrees).

</J: latitudc of the earth station (degrecs).

f: frequcncy (GHz).

Re: effective radius of the Earth (8500 km).

If tliis long-term statistic cannot be obtained frorn local data sources, an estímate of Ro.en can be

obtained from thc maps of rainfall rate given in Recommendation ITU-R P.837 [41]. If Ro.o1 is

equal to zero, the predicted rain attenuation is zero for any time pcrcentagc and the following steps

are not required. Then, the predicted attenuation excccded for 0.01 % of an average ycar is defined

as:

dB (2.6)

Where the specific attenuation, ,n, is calculated using the equations (2.3), (2.4) and (2.5).

The effective patli length is defi11ed as:

LE= LR vo.01 km (2.7)

The vertical adjustrnent factor, v0 01 , for 0.01 % of the time is calculated using:

1 (2.8)

/.IQ.Ol = _l _+_V_s-'Ín-() ~[-3_1 _[ 1---e-_-()-/(_l+_x_)_] _~-~f-~-,-R-_-0_.4_5~]

with:

if 14>1 < 36º degrees (2.9)

otherwise

Chapter 2. Theoretical Framework

Le ro.01 if (>o

cos (} Ln =

hR - hs otherwi8e

sin(}

( = tan-l (hR - hs) Le ro.01

The horizontal reduction factor is defined as:

1

km

degrees

ro.01 = -------c===-------

1 + l.78J LepR - 0.38(1 - e-2Lc)

whit the horizontal projection of the slant-path length:

Le= Ls cos (}

an<l the slant-path length for (} 2: 5°:

L _ hR - hs s - sin(}

km

km

14

(2.10)

(2.11)

(2.12)

(2.13)

(2.14)

The attenuation exceeded for other percentage8 p of an average year, in the range 0.001 % to 5%,

i8 determined from the attenuation for 0.01 % for an average year:

if p 2: 1 % or </J 2: 36°: /3 = O

if p < 1 % and <P < 36° and (} 2: 25°: /3 = -0.005(1</JI - 36)

Otherwi8e: /3 = -0.005(1</JI - 3G) + 1.8 - 4.25 sin(}

( p )-[0.655 + 0.033 ln (p) - 0.045 ln (Ao.01) - /3 (1 - p) :;in O]

A -A -p - 0.01 0.01 dB (2.15)

2.1.3 Time series sythesis

i\faseng and Bakken, ha.sed on the first-order Markov theory, propose a stochastic model of the

rain attenuation [26] that synthesizes just rain periods. For this reason, the enhanced Maseng and

Chapter 2. Theorelical Frarnework 15

Bakken (EMB) modcl was proposed in order to create ful] time series with periods of rain and of

no rain [27]. The EMB modcl has been widcly validated for temperate climates [27, 42, 43] and

was adopted by the ITU for troposphere attenuation time series synthesis [28].

The EMB model is based on two main hypotheses concerning the rain attenuation process Arain:

The loug-tenn <listrilrntiou of rain attenuation is log-nonual.

Raiu atteuuatiou, Aruin iu <lB, cau Le trausfonne<l into a first or<ler statiouary Markov process

usiug the nou liuear trausformatio11:

ln Arain - m )(=----­

(J (2.16)

The correlatiou fuuctiou of the first-or<ler statiouary Markov processes, ex, is exponeutial aml

depeu<ls ouly 011 the lag time, Lit:

(2.17)

Rain attenuation, Arain(t), is synthesized from the discrete white Gaussian noise process, n(t). The

white Gaussian noise is low-pass filtered, transformed from a normal distribution to a lag-normal

distribution in a memoryless non-linearity, and calibrated to match the desired rain attenuation

statistics. The block diagram of the rain attenuation time series synthesizer is shown in figure 2.1

with the following parameters:

m: mea11 of tl1e log-uonnal raiu atteuuatiou distriLutiou.

a-: standard deviation of the log-11onnal rain attenuation distribution.

p: probability of rain.

(3: parameter that describes the time dynamics (s- 1 ).

A0 ¡¡,et: offset that adjusts the time series to match the probability of rain (dB).

Low-Pass Filter Memoryless non-linear

device Calibration

n(t)

~ k

1

X(t) • ~ ~

A,·ain (t)

White Gaussian p+p exp(m +a· X(t)) Aoffsel Rain Attenuation

Noise (dB)

FIGURE 2.1: Block diagram of thC' rain attenuation time series synthesizer.

The time series, Aru;,,(kT.,) whit k = 1, 2, 3, ... , is synthesized as follow [28]:

Stcp 1: Syuthc8izc a white Gaus8iau uoise time series, n(kT.s), where k = 1, 2, 3, ... with zero mean,

unit variance anda sarnpling period T.s = 1 (s).

Chapter 2. Theoretical Framework 16

Stcp 2: Set X(O) = O.

Step 3: Filter n(kT5 ) with a recursive low pass filter:

X(kTs) = p X((k - l)Ts) + JI=p2 n(kT,). (2.18)

for k = 1,2,3,4, ... and: -{JT p = e ., (2.19)

Step 4: Compute Yrain(kTs), for k = 1, 2, 3, ... a:;:

v . (kT) _ e"'+crX(kT.,) Irain :-; - (2.20)

Step G: Compute Aruin(kT8 ) , for k = 1, 2, 3, ... a.<; follows:

Árain(k1\) = MaximumlYrain(k1\) - Aoffset,OJ (2.21)

Stcp 6: Discar<l thc first 200000 samplcs from the synthesize<l time series. Rain attenuation evcnts

are represented by sequences whose values are above O dB for a consecutive number of samplcs.

Three parameters are necessary in order to generate rain attenuation time series with this model:

m and a of the log-normal law (mean and standard deviation of the log-attennation) and the /3

parameter introdnced to describe the dynamics of rain attemiation.

2.1.3.1 Log-normal parameters (m,u)

The log-normal parameters m and a are determined from the long-term CCDF (complementary

cumulative distribution function) of rain attenuation vs. probability of occurrence. This rain

attenuation process depends on meteorological and link parameters.

Rain attenuation statistics cau be determiued from local measured data, or, if the experimental

long-term <listribution is not available, the ITU proposes a model that predicts the rain attenuation

distribution [8] (see section 2.1.2, equation (2.15). Then, the parameters manda can be calculated

using the following steps [28]:

Stcp 1: Determine the probability of rain 011 thc path, pruin (% of time). In the absence of mcasurcd

data, this probability can be well approximated usiug the recommcu<lation ITU P.837 [41].

Chapter 2. Theoretical Frarnework 17

Step 2: Construct the set of pairs [Pi, A;] using (2.15). P; is the probability that the attenuation

Ai is exceeded. A suggested set of time percentages P.; is: 0.01, 0.02, 0.03, 0.05 0.1, 0.2, 0.3, 0.5 1,

2, 3, 5, and 10%.

Step 3: Transform:

(2.22)

where:

Q(x) = -- e--:¡-dt 1 ¡= ,2

\l'27r X

(2.23)

Step 4: Determine the variables m¡11 A, and a¡11 A; by a least squares fit to:

-1 ( P; ) ln A; = 11¡11 A; Q 100

+ m1n A, (2.24)

for all i. the step by step procedure to approximate a complementary cumulative distribution by a

log normal complementary cumulative distribution is described in recommendation ITU-R P.1057

[44].

2.1.3.2 f3 parameter

A methodology to infer the parameter /3 from experimental time series is described and proven in

[27] and [43] respectively. This methodology is based on the second order conditional moment of

attenuation, K2A,.0

.,. (Arnin)- The analytical expression given by [26]:

K . (A . ) = E { [Arain(t + ~t) - Arain(t)]2

1 Arain(t)} = 2(3A2 . ª2 2Aruin rain. /).t rain (2.25)

The recommendation ITU-R P.1853 defines the parameter /3 = 2 x 10-4 [28].

2.1.3.3 Attenuation Offset Aotrset

When the log-normal parameters m and a are calc1dated, it is possible to infer the attennation

offset to subtract to synthesized time series following the scherne shown in figure 2.1. Thus, the

attenuation offset is defined as:

(2.26)

Chapter 2. Theorelical Framework 18

whcrc Q(x) is dcfincd in cquation (2.23).

2.2 Peaks over threshold analysis

The frequency analysis has the objective to analyze historical records of variables in order to

cstimatc futurc occurrcncc probabilitics. In many statistical applications, thc intcrcst is ccntcrcd

on cstimating sorne population central charactcristics (average, median, among othcrs) bascd on

random samplcs. Howcvcr, in somc othcr arcas of application, wc are not intcrcstcd in thc average

but rathcr in thc maximum or thc minimum.

The extreme value statistics are used in severa] fields as a too] for risk analysis [45]. In satellite

communication systems that are affected by atmospheric phenomena, the risks are connected to pa­

rameters snch a.'i availahility an<l qnality of service. This analysis can he 11se<l in or<ler to design fade

mitigation techniques. Many atmospheric phenomena have been mo<lele<l by peaks over threshol<l

(POT) analysis in order to estímate the climate change [46] and the intensity of precipitation events

[47-50].

The peaks over threshold (POT) analysis involves: choosing sorne threshold leve!, collecting into

a sample the extreme values which are above the threshold, and drawing conclusions based on

the sample. Given a data set x 1 , x2, ... : Xn, the exceedances y; over a threshold u are those x;

with x; > u. The values y; - u are the excesses over u. Then, the probability distribution of the

exceedances over the threshold can be modeled by the generalized Pareto distribution. Exceedances

are rare events that follow a Poisson law and can be described by a nonhomogeneous Poisson process

[51].

2.2.1 Generalized extreme value distribution

The genernlized extreme value distribntion (GEVD) describes an<l measnres events which occnr

with low probability. For this reason, it is useful in the risk mo<leling. Given the independent and

identically distributed random variables, the GEVD is a farnily of contim1ous probability distribu­

tio11 tlmt was developed under the extreme value theory i11 order to co111bi11e tliree types of extreme

value distrilmti011s: I, TI miel III [51, 52]:

Chapter 2. Theoretical Frarnework 19

!: A(x) = exp[-exp(-x)] if X E IR

{º if X< Ü JI: <I>o(x) =

exp(-x- 0) if X 2°'. Ü (2.27)

{ exp[-(-x)"] if X< Ü JI]: W0 (x) =

1 if X 2°'. Ü

for sorne n > O. These types of cumulative distribution functions are often called Gumbel, A( x)

Fréchet, <I> 0 (x), and Weibull, \J! 0 (x), respectively.

The three dü;tribution families introduced in 2.31 can be generalized with the incorporation of local,

shape and scale parameters. Thereby, the cumulative distribution function (CDF) of generalized

extreme value distribution is defined as [51, 52]:

(2.28)

for 1 + i,;,(x - >-.)/ó 2". O; K -¡. O, ).. E IR and ó > O. The modcl has three parameters: >-., location

parameter; ó, scale parameter; and K, shape parameter.

The probability density function (PDF) of the maximal GEVD is given by:

{ [ ( )..)]-l/1<} [ ( )..)]-l/1<-l l J(x;>-.,ó,K)=exp - l+K X; l+K X; J (2.29)

for K -¡. O; x :=:; ).. + ó / K if K > O, or x 2". ).. + ó / K if K < O.

For K = O the PDF of GEVD is:

[ ( ).. - X)] ().. - X) 1 J(x; >-., ó, i,;,) = exp -exp -6

- exp -6

- 6 (2.30)

Usi11g the parnmeters >-., ó all(l i,;,; The CDF of Gulllbel, Frécl1et a11J Weilmll distributi011s are

defined from (2.28) as:

Chapter 2. Theoretical Framework 20

/: F(x) = exp [-exp ( ;\; x)] if K = O, X :S A

{:x+C~Jl if X< A

JI: F(x) = if X~ A, K > o (2.31)

F(x)-{:xp [-C;xrl if X :S A, K > o JI!:

otherwi:,;e

Figures 2.2 and 2.3 show respcctivcly the PDF and CDF of Gumbcl, Fréchct and Wcibull distribu­

tions.

0.45~-~-~--~-~--~-~--~-~-~

0.4

-;;- 0.35

"'" e ;§! 0.3 !! .2 10.25

~ 0.2

~ i 0.15 .D e a. 0.1

0.05

-2 -1

-- Type 111: Weibull

, ••• •••••••••· Type 1: Gumbel '· .. \··,\ · Type 11: Fréchet

\_\ ____ \,\ \ .... , \\

\ \ \ \ \\ \,

'·-..., .. · . ·-... , .. ··.,

'--.::>, ·--.,,,,-...,""-<:::.::::·::··

·-·--------- ---

FIGURE 2.2: Probahility <lensity functions of generalize<l extreme value <listrilmtion.

~

;r g 0.8

~ .2 e ~ 0.6 .D

~ '5 ] 0.4 m

1 U 0.2

-- Type 111: Weibull ---------- Type 1: Gumbel

...... Type 11: Fréchel

-2 -1

FIGURE 2.3: Cumulative distribution functions of generalized extreme value distribution.

Jt{~d.J BJBÚOTECA

Chapter 2. Theoretical Framework 21

2.2.2 Generalized Pareto Distributions

The probability distribution of the exceedances over the threshold can be modeled using the gen­

eralized Pareto distribution (GPD). This distribution was proposed by Pickands [53] and studied

further by Davison and Coles [54, 55].

Let xi, x2, ... , Xn a sequeuce of i.i.d. (i11depe11de11t aud identically distributed) ra11do111 variables

aud x 1, x2, ... , Xk the sequeuce that represeuts the subset of data poiuts that exceed a particular

threshold u. The threshold excesses are defined for j = 1, 2, ... , k aud k :S n as:

Yj = Xj - u (2.32)

Then, the CDF of the maximal generalized Pareto distribution is defined from (2.28) as:

if K. -=1- o (2.33)

( y->..) 1- exp --

0- if K. = o

with y;::::>.. when "';:::: O, and >.. :S y :S >.. - ó/K when "'< O.

The pdf of the maximal GPD is:

(2.34)

where: y ;:::: >.. if "' ;:::: O, or y :S >.. - ó /"' if "' :S O.

The model has three parameters: >.., location parameter; ó, scale parameter; and "-, shape pararneter.

The effects that have the parameters "-, ó and >.. over the GPD are shown in the figures 2.4, 2.5 and

2.6 respectively.

The critica) problem with POT methods is to determine an optima) threshold. A low threshold leads

to bias and a very high threshold will generate too few excesses leading to high variance. There

are 111a11y ways to determine an appropriate thresl1old: mea11 excess plot [47, 56]; depe11di11g 011

study variable, a particular co11sta11t cau be defiued as the threshold [57]; time-depe11de11t threshold

[5 7, 58]; among others.

Chapter 2. Theoretical Framework

-;:: ;;:::,- 0.8 .\ § :, :g \\ e :1

.2 0.6 q i:!' : ' ·¡¡; : \ ~ : '

"O 0.4 ~ \ ~ ~ \ ·- : \

1 0.2 \ .. ' a: ·· .. ' ,, ' ··~

... "'.:.·..:.::.:····················-· ············ OL-~~'--'--~___;:¡c:,.,,.~-...;."'-=~.:.:.:.,.:..=='-'"-'-" o 2 4 6 8 10

y

-;:: ¡¡:- 0.8 e

.Q ü e .2 0.6 Z:-·¡¡; e Q)

-o 0.4 Q)

.::: 1ª :::J E 0.2 :::J ü

..... / ......

......... ... ···

.................. .......................

o~~~~~~~~~~~~~~~

o 2 4 6 8 10 y

FIGL"RE 2.4: PDF au<l CDF of Parcto <listribution: ,\=O ami {¡ = l.

§ 1.5 u e .2 .?:­·¡¡; e Q)

"O

.2:­:0 2 0.5 e a.

···················-··-· .. 2 4 6 8 10

y

-;:: ¡¡:- 0.8 e

.Q ü e .2 0.6 .?:-·¡¡; e Q)

~ 0.4 .::: iií 'S E 0.2 :::J ü

--/,,--~_ ........ ········•············ : .... I ,•'

/_/., 1 • 1 : 1 :

1 : ....

o~~~~~~~~~~~~~~~

o 2 4 6 8 10 y

FIGURE 2.5: PDF and CDF of Pareto distribution: ,\ = O and K = O.

22

Coles [59] proposes a method ha.sed on mean residual life plot: Let x 1, x2, ... , Xn a sequence uf

measurements and x1 , x2 , ... , Xk the sequence that represents the subset uf data points that exceed

a particular threshold u. The threshold excesses are defined as Yí = Xj - u for j = 1, ... , k. Then,

the mean residual life plot is defined as:

( 1 "" ) Plot u,-;¡: ¿ (xi - u) " i=l

: U< J;(max) (2.35)

whcre n" is the mnnher of ohservations that excee<l the threshold u.

Chaptcr 2. Theoretical Framework

~ ;;:e- 0.8 e .Q ü e 2 0.6

"' e Ql -o O 4 ~-i:i ro -g 0.2 a:

•, •, •, 1 1 1 1 1

\ 1 1 1 1 1 \ \ . \ \ \ \

\: ,.. . ' ·. : ', ··· ....... . oL-~--i~~..::::::,:,,,,,,,,_,_-~-~-.... __;.:.:..:......._--J

o 4 6 8 10 y

~ ¡¡:-e o ~ e 2 ~ ·¡¡; e Ql

0.8

0.6

'O 0.4 Ql > 'i ::i E 0.2 :::, ü

,,. ... -- .. ··········· ,,,,,,, ............. --·· I

I I I , , , , , , , ,

1 1 , ,

1 , 1 , 1 1 O'--~--'~~--'-~~~~~~~__J

O 2 4 6 8 10 y

FIGURE 2.6: PDF ami CDF of Parcto distributiou: "'= O all(l 6 = l.

2.2.3 Nonhomogeneous Poisson process

23

A stochastic proccss N(t), t 2 O is a counting proccss if N(t) rcprcscnts thc total numbcr of cvcnts

that occur by time t [60]. A counting proccss N(t) must satisfy:

l. N(t) 2 O.

2. N(t) is an integer value.

3. If s < t, then N(s) ~ N(t).

4. For s < t, N(t) - N(s) equals the number of events that occur in the interval (s, t).

The Poisson process is one of the most important counting processes. A Poisson process on the

iuterval [O, oo) com1ts the uurnber of times sorne primitive event has occurred duriug the time

iuterval [O, t]. Theu, a couuting process {N(t), t 2 O} is a Poisson process with rate (, (>O [60] if:

l. N(O) = O

2. The process h3.':i independent increments.

3. The number of events in any interval of length t is Poisson distribution with mean ~t, for ali

s, t 2 O:

((ttF-(l P{N(t+s)-N(s)=n}=

1 , n=0,1,2, ...

n. (2.36)

Chapter 2. Theordical Framework 24

Thc cxpcctcd valuc of Poisson proccss is:

E[N(t)] = ( t (2.37)

for thü, rea.'ion, ( is called the process rate.

A nonhomogeneous Poisson process (NHPP) is a generalization of the Poisson process (see equation

(2.36)) iu which the process parameter, (, is uot coustaut. Theu, (N(t), t ~ O) is a 11ouhomoge11eous

Poissou process with i11te11sity fuuctiou ((t), t ~ O if:

l. N(O) = O.

2. For each O~ t1 ~ t 2 < · · · < t71., N(t 1), N(t2)-N(t1), ... , N(t,,.)-N(t,,. -1) are independent.

3. For each t > O, N(t) has a Poisson distribution with mean m(t) = ft ((s) ds: lo

[m(t)t e-m(t) P {N(t + s) - N(s) = n} =

1 , n = O, 1, 2, ...

n. (2.38)

Note that a nonhomogeneous Poisson process with ((t) = (, for each t ~ O, is a regular Poisson

process. The function m(t) is call the mean value function of the NHPP [60].

For any NHPP with: meau function m(t), rate function ((t), increments Ni - N5 for O < s < t.

Thc cunmlative distribution function Fs of the waiting time vV5 ,the arrival time of the nth event,

is given by:

Fs = P(Ws ~ t) = 1 - P(Ws > t) = 1 - P(Ns, - Nt) =

= 1 - exp (- ¡·•+t ((u) du) = 1 - exp (-11

((s + v) dv) (2.39)

2.2.4 Nonstationary temporal series of extreme values

In contrast to the stationary series, the statistical properties of the nonstationary temporal series

are not constant over time. Thc first proposal for extending the gcneralized Parcto distribution to

11011statio11ary ca.-;es was givcu by Davisou ancl Smith [61]. They proposc that the scale parametcr

of the GPD cau be modclcd as fuuction of covariates, however, recent stu<lies have considered the

11se of completely 11011paramctric models [62].

Chapter 2. Theorelical Frarnework 25

In the GPD, the nonstationary properties allow to define the location, scalc and shape parameters

as: .X(r), ó(r) and !i(r) respectivcly. Then, the nonstationary GPD is defined as:

GPD(x; .X(r), ó(r), !i(r))(r) (2.40)

In this way, the annual rnodel is composed for a generalized Pareto distribution that changes its

parameters in monthly or seasonal periods T. This model can be used in equations (2.33) and (2.34)

in order to to calculate the CDF and PDF respectively.

2.3 Adaptive coding and modulation scheme

The iucreasing use of wireless transmission media requires transmission schemes with higher spectral

efficiency. The spectral efficiency, also called information throughput, is defined as the amount of

information bits transmitted per time unit over the available bandwiclth. The maximum spectral

efficiency, or channel capacity, is given by [63]:

C = B log2 ( 1 + i) bits/s (2.41)

where B is the banclwiclth of the channel in Hertz, S is the signa! power in Watts ancl N is the

uoise power in Watts. The ratio S/N is the ratio of received average signa! power to noise power.

Adaptive transmission schemes are clesignecl in order to achieve high levels of spectral efficiency. In

thesc schemes, transmission parameters (modulation, code rate and power) are adjusted according

to thc channcl conclitious. The adaptive coding and modulation (ACM) technique allows to change

automatically the forward error corrcction (FEC) ancl the modulation order to compensate channel

impairrneuts [64].

The block diagrarn of an ACM scheme is shown in fignre 2.7. This scherne consists of: a transmitter

ami receiver that employ a set of N components of coders ami modnlators, a commnnication channel,

ami a separa.te retnrn channel that is assnrned free of errors.

The ACM system increa.':ies link availability and throughput simultaneously. In good channel con­

clitions, the maxi111u111 throughput is achieved using larger signa! constellation and less robust FEC

schemes. For facle events, availability is guaranteed using more robust FEC schemes and srnaller

signa! constdlatiou.

Chapter 2. Theoretical Frarnework

Transmitter

Input (Bits) -----:-+

N - Channel --+ N - Modulators

Coders

Receiver

FIGURE 2.7: Trnnsmission system with ACM sc.heme.

2.3.1 Digital modulations

! Output ¡--------+ (Bits)

26

Digital rnodulation is the process by which sorne characteristics of a high frequency carrier are varied

according to digital syrnbols (inforrnation). This process can be either rnemoryless or with memory.

The rnernoryless rnodulation scherne proposes fixed assignrnents between M signal waveforms and

M = 2k possible symbols or k-bit blocks. In the rnodulation scheme with rnernory, the rnapping

between signal waveforrns and syrnbols depends on the current and previous syrnbol [21].

The general form of the carrier wave is:

s(t) = A(t) cos [21rft + O(t)] (2.42)

In order to generate different memoryless modulation schemes, carrier waveforms may cliffer in

amplitude A(t), in frequency f, in phase ()(t) or in sorne cornbination of these parnrneters. In this

way, the following mernoryless rnodulation schernes are defined:

Amplitude shift keying (M-ASK).

Frequency shift keying (M-FSK)

Phase shift keying (M-PSK).

Quadrature amplitude rnodulation (M-QAM).

In an AWGN (adclitivc white Gaussian noise) channcl, thc channcl adds noisc to the rnodulated

signa! before the reception. The signa! to noise ratio (SNR) is defined as the ratio of the signa!

power S to the noise power within the bandwidth of the transmitted signa! [65]:

(2.43)

Chapter 2. Theorelical Frarnework 27

where N0 is the noise power spectral density ratio and B is the channel bandwidth. The SNR can

be expressed in terms of the signa] encrgy per bit Eb or per symbol Es as:

(2.44)

where Rh is the data rnte and R., is the symbol rnte.

Tl1e ratios 'Ys = Es/ N0 and "fb = Eb/ N0 are called SNR per symbol and per bit respectively. Then,

the bit error probability n with Gray e11codi11g aud high SNR cau be approxirnated frorn the

symbol error probability Ps as [65, 66]:

-y¡,:::::: l M og2

"(.s (2.45)

(2.46)

Wheu the receiver requires the estimatiou of the carrier phase iu order to <letect the signa!, the

process is called coherent detection. When the receiver <loes not utilize such phase reference infor­

mation, the process is called noncoherent detcction [21].

2.3.1.1 Amplitude shift keying

The amplitude shift keying (M-ASK) assigns k information bits to M = 2k possible amplitudes

[67]. Then, the signa! waveform may be express as:

s;(t) = A;(t) rm [21rft + O(t)]

where A;(t) represents M possible discrete values of amplitude, and O(t) is a constant.

The symbol error probability, P5 , is given by:

whcrc: Q(.\) = _1_ {O() e_x2 /2 dx

v27r 1>.

(2.4 7)

(2.48)

(2.49)

Chapter 2. Theoretical Framework 28

Figure 2.8 shows the bit error probability that is calculated using the cquation (2.46).

---M=2

----- M=4 10·• -· -M=B

- •••••••••• M = 16

, o·' .___,___,___,___,___,___._,___,____._,___,__-----LJ o 2 6 8 10 12 14 16 18 20

SNR per bit (dB)

FIGURE 2.8: Bit error probability of M-ASK.

2.3.1.2 Frequency shift keying

The frequency shift keying (M-FSK) assigns k information bits to M = 2k possible frequencies [67].

Then, the general analytic expression of the signa! waveform is expressed as:

si(t) = A(t) cos [2nfit + O(t)] (2.50)

where fi(t) represeuts M possible discrete values of frequency, all(l O(t) is a co11sta11t.

M-FSK requires more bandwidth than M-ASK or M-PSK in order to trnnsmit a determínate bit

rnte. For this rea.son, this modulation scheme cannot be used if the channel is limited by bandwidth

[66].

2.3.1.3 Phase shift keying

The phase shift keying (M-PSK) assigns k information bits to M = 2k possible phases [67]. Then,

the signa! waveform may he express as:

si(t) = A(t) cos [2nft + Oi(t)] (2.51)

Chapter 2. Theoretical Framework

where B;(t) represents M possiblc discrete values of phase typically given by:

O¡(t) = 21ri M

In M-PSK the symbol error probability is defined a:;:

where Q(,\) is defined in eqnation (2.49).

The bit error probability Pb(,b) is plotted in figure 2.9 using the equation (2.46).

E ! 10·5

m t:=,---------.::::== -- -----·M-4 ---M=2

10 .. ---M=B ••••••••••· M = 16

10·1~-~-~-~-~-~~~-~-~-~~

o 2 4 6 8 10 12 14 16 18 20 SNR per bit (dB)

FIGURE 2.!): Bit error probahility of M-PSK.

29

(2.52)

(2.53)

A variatiou of PSK allows another type of <lemo<lulatiou that <loes uot require the estimati011 of

the carrier phase (noncoherent detection), this modulation is called differential PSK (DPSK). The

characteristic of DPSK is that there are no fixed decision regions in thc signa! space and only

requires to calculate the difference in phase between two successive waveforms.

The symbol error probability for DPSK is defined as [68]:

P( )- sin(1r/M) ¡·1í/2 e:rp[-(,blog2M)(l-ws(1r/M)cosB)]d() .srh - 21r .-7[;2 I-ros(1r/M)rosfJ

(2.54)

Figure 2.10 shows the bit error probability that is calculated using the equation (2.46).

Chapter 2. Theoretical Fmmework 30

2 4 6 8 10 12 14 16 18 20 SNR per bit (dB)

FIGURE 2.10: Bit error probability of DPSK.

Comparing bit error probability of PSK with DPSK (figures 2.9 and 2.10 respectively): DPSK

is worse in performance than PSK, approximately 2.3 dB less using modulation schemes of four

phases. However, DPSK is often used in digital communication systems because it reduces the

implementation complexi ty.

2.3.1.4 Quadrature amplitude modulation

The quadrature amplitude modulation (M-QAM) is a combination of ASK and FSK. Then, QAM

assig11s k iuformatiou bits to !vf = 2k possible pliases au<l amplitudes wliich are arrauge<l i11 a

rectaugular coustellatiou [67]. The signa! waveform may be express as:

The symbol error probability for QAM is defined as:

3 log2(M) 'Ys) M-1

Figure 2.11 shows the bit error probability Ahb) that is calculated using {2.4G) ancl {2.49)

(2.55)

(2.56)

(2.57)

Chapter 2. Theoretical Framework 31

i 10·'

~ :o ~ 10"" a e :¡; -5

¡¡¡ 10 --------· M = 2 ---M=4

10·• "'. --- M=B

••••••••••• M = 16

2 4 6 8 10 12 14 SNR per bit (dB)

FIGURE 2.11: Bit error probability of M-QAM.

2.3.1.5 Comparison of modulation schemes

The bandwidth efficiency of a signaling scheme is define as:

where N = 1 in one-dimensional modulation schemes (M-ASK) and N

signaling schemes (M-PSK, M-DPSK and M-QAM).

16

(2.58)

2 in two dimensional

The relation between bandwidth efficiency and SNR. per bit is shown in the figure 2.12 for ASK,

PSK, DPSK and QAM. This graph plots the Shannon limit ami compares mod11la.tion schemes at

Pb = 10-5 bit error probability.

Figure 2.12 shows that ASK, PSK, DPSK and QAM are bandwidth efficient schemes. As M

increases, the bandwidth efficiency R/W also increases. This modulation schemes can be used

in order to improve bandwidth efficiency at the expense of increased SNR per bit. DPSK has the

worst performance because it requires more SNR per bit than other modulation schemes in order to

achieve the same bit error probability. On the other hand, QAM has the best performance because

it trnnsmits, for the same bit error probability, more bits per symbol with less SNR per bit than

ASK and PSK.

Figure 2.13 shows the Lit error probability of ASK, PSK aml QAM with same modulation i11dex. As

ca11 clearly be seen, QAM has lcss bit error probability than ASK and PSK far the same modulation

Chapter 2. Theoretical Framework 32

4ASK 16PSK 4 16QAM

.,. ,.. / ~· ..•

N .,//

/ I ';- _.,/ 8 PSK .,.. ... ·· !i • .•... · e / / 8 DPSK

/ /

~ / ....... .... /,,

o:: 2ASK // .. // 4 PSK ~y

.~ 4 DPSK 4 QAM 1 1 1

/ i

! pb = 10·5 /

2PSK / 2DPSK ! 1 o 2 4 6 8 10 12 14 16 18 20

SNR per bil (dB)

FIGURE 2.12: Cornparisou of rno<lulatiou schcrncs at A = 10-5 Lit error proLability.

10·1~-~-~--~-~-~-~-~~~~-~-~

o 2 4 6 8 10 12 14 16 18 20 SNR per bil (dB)

FIGURE 2.13: Comparison of modulation schcmcs with modulation indcx M = 8.

index. The signa] space diagrams for ASK, PSK and QAM with different modulation indices are

plotted in figure 2.14.

As shown in signal space diagrams, bit error probability depends on the distance between any

pair of signal points in the signal constellation , therefore, each modulation scheme has different

performance in certain channel conditions. Finally, QAM schemes with low modulation indices

have the best behavior in adverse conditions of SNR.

Chapter 2. Theoretical Fmmework

~ 0.5 :::,

~ "O ro :::, a

o -0.5

-1

CD 2 :i

-1

ro "O o • ro :::,

a -2

10 CD

-2

2-ASK

o ln-Phase

4-ASK

• •

o ln-Phase

16-ASK

2

:i ro "O o •••••••••••••••• ro :::, a

-10

-10 o ln-Phase

10

~ 0.5 :::,

~ "O ro :::, a

o -0.5

-1

-1

2-PSK

o ln-Phase

4-PSK

~ 0.5 ro "O o • • ro 6 -0.5

-1

CD .3 0.5 ~

"O ro :::, a

o -0.5

-1

-1

• o

ln-Phase

16-PSK

• • • • •

• • • • • •

-1

• • • • •

o ln-Phase

~ 0.5 :::,

~ "O ro :::, a

o -0.5

-1

~ 0.5

~ o "O ro :::, a -0.5

-1

CD 2 :i m "O o ro :::,

a -2

FIGURE 2.14: Signa! spacc <liagrnms.

2.3.2 Channel coding

-1

• -1

• -2

2-QAM

o ln-Phase

4-QAM

o ln-Phase

16-QAM

• •

• •

• •

• • o

ln-Phase

33

• 2

Channcl coding studies how much information can be transfcrred without error over communication

channcls. Shannon proposed the channcl capacity and proved that it is possible to error-free

communications at information rates below this capacities, see equation (2.41). In order to achieve

error-free communication, channel coding protects the message against channel noise by providi11g

detection and error correction [69].

The large of coding techniques can be classified in two main groups: automatic request for repcat

(ARQ) schemes and forward error correction (FEC) schemes. If the received data co11tain one

Chapter 2. Theoretical Framework 34

or more errors, ARQ schemes detect these errors and send a request for rctransmission. Unlike

ARQ codes, FEC schemes have characteristics that permit not only error detection but also error

corrcction in the receiver [70].

In order to provide satellite television services with high levels of availability and quality of service,

digital video broadcasting second generation (DVB-S2) standard uses a FEC system based on low

clensity parity check (LDPC) codes concatenated with BCH codes. This FEC scheme allows almost

error-free operation at abont 0,7 dB to 1 dB from the Shannon limit [6].

2.3.2.1 BCH codes

A lillear block code (n, k) generates a block of n coded bits (syrnbols) frorn k iufonnatiou bits.

The rate of the code is defined as Re = k/n (bits/symbol). If the symbol is transmitted across the

channcl with symbol rate Rs (symbol/second), the information rate associated with an (n, k) block

code is:

bits/ s (2.5())

The code word to transmit in a linear block code, C = [c1, c2, ... , cnl, is given by the matrix operation

[21]:

C=UG (2.60)

where vector U = [u1, u2, ... , uk] denotes the k information bits and the matrix G is the generator

matrix that is defined as:

Yn 912 Y1n

G= 921 922 92n

(2.61)

9kl 9k2 Ykn

Cyclic cudes are a subset uf the linear codes that satisfy the following cyclic property: if C =

[c1,c2, ... ,cn-l,cn] is acode wurd, then C = [c2,c3, ... ,cn-1,cn,c1] is alsu acode wurd uf a cyclic

code, i.e. ali cyclic shift of the code word C are code words. These code words are generated by a

polynomial generator g instead of a matrix generator. For a (n, k) cyclic code with degree n - k,

the polynornial generator is defined as:

(2.62)

Chapter 2. Theoretical Framework 35

BCH (Bose, Chaudhuri and Hocquenghem) codes are a subclass of cyclic codes that were proposed

by Hocquenghem in 1959 [71] and independently by Bose and Chaudhuri in 1960 [72]. For any

positive integer m 2 3 and t < 2m-l, there is a binary BCH code with the following para.meter:

Block leugth: n = 2m - l.

Number of parity check <ligits: n - k :S mt.

Minimum distance: dmin 2 2t + l.

This code is capable of correcting any combination of t or fewer errors in a block of n digits.

This code is called t-error correcting (n,k) BCH code [21]. Sorne BCH codes with their respective

genernturs are shuwn in Table 2.3.

TABLE 2.3: BCH codes of lengths 7 :'S n :'S 31.

n k t g (Octal form)

7 4 1 13

15 11 1 23

7 2 721

5 3 2467

31 26 1 45

21 2 3551

16 3 107657

11 5 5423325

6 7 313365047

Figure 2.15 shows a comparison of BER hetween schemes with and without channel codes. Although

3-error correcting (15,5) BCH code ha.<; the lowest bit error prohability, its effective handwidth is

only 33.3% whereas 2-error correcting (15,7) BCH code ha.<; 46.6% of effective handwidth. Finally,

1-error correcting (15,11) BCH code presents an effectiveness of 73.3%.

Figure 2.16 shows the bit error probability for different BCH codes whit same t-error correction.

As can be seen, there is a small difference in the DER. 1-errur currecting (31,26) DCH cude has the

luwest bit error prubability and 83.9% uf effective bandwidth while 1-errur currecting (15,11) has

an cfficicncy of 73.3%. Finally, the 1-errur currecting (7,4) DCH cudc preseuts a. 57.1% of effcctive

bandwidth.

Chapter 2. Theoretical Framework 36

5 10 15 SNR per bit (dB)

FIGURE 2.15: Ilit error probability with and without IlCH codes.

-:¡¡ ·3 '

! ::: .:.••.> .......•.• -:~~~-~ --- -- ------·-------------------·--- •..;.. ::.·.:,· __ ::.:. _:: ____ : __ - ----

---· 1-errer cerrecting (7 4) BCH cede •• \ . . . \ .

10·6

-- 1-errer cerrecting ( 15, 11) BCH cede , ,, ,,,. ;.,." -.:.:,\'=,'"""=' ===="~ _ •••• • •• 1-errer cerrecting (31,26) BCH cede :~ : \_-~:\::::::~ .

. .. .. ·- ·-··-·-·-·--·----··--------------·---------··-----------!" •.. -----\ -------·-

1 o·1~--~--~--~--~---~-·~~~-~ 2 4 6 8 10 12 14 16

SNR per bit (dB)

FIGURE 2.16: Bit error probability for 1-error correcting BCH codes.

2.3.2.2 Low density parity check codes

Low density parity check (LDPC) code was developed by Robert Gallager [73]. Due to limited

computational effort to implemeut the LDPC coder/decoder and the iutroduction of Reed-Solomon

codes, LDPC codes were iguored for ahuost 30 years. Duriug this period, the ouly uotable work was

proposed by Tauuer iu 1981 geueraliziug LDPC codes aud iutroduciug a graphical representation

called Tanner graph [74].

Clrnpter 2. Theoretical Frarnework 37

LDPC block codes, togethcr with an itcrative decoding algorithm (bclicf propagation decoding),

are capable of achieving low bit error probabilities at SNRs close to Shannon limit. A regular LDPC

code is define<l by an m x n binary parity-check matrix H having Wc ones in each column an<l w,.

011es in cach row. The term low density implies that thc parity-chcck matrix has a fcw number of

ones, much less than the <limension ( w,. < < m, Wr < < n) [75].

The code is abo defined by a k x n generator matrix G. Then, the code word to transmite is given

by the matrix operation:

c=uG (2.63)

where u is the information vector of length k. All code words satisfy the following property:

(2.64)

The Tanner graph of an LDPC code is a bipartite graph that provides a complete representation

of the code and it aids in the description of the decoding algorithm. For the parity check matrix

H, the Tanner graph consists of a set C = { co, c1 , ... , Cn-I} of n variables no des ( CN s) and a set

F = {Jo, Ji, ... , fm-d of m check nodes (FNs). There is an edge connecting CN Cito FN Íí if and

only if Hi,j = 1 [76]. Fignre 2.17 shows the Tanner graph for a (10,5) LDPC code.

Variable nodes

FIGURE 2.17: Ta1111cr graplt for a (10.5) LDPC codc.

e,

Dne to its performance and capacity to achieve low bit error probabilities at SNRs close to Shannon

limit, LDPC codes are used in rnany applications a.e;: satellite com1m111ic:ati011s (DVB-S2 and DVB­

S2X standards), local arnl mctropolitan arca nctworks (JO GBASE-T), WiFi, mobile WiMAX,

amoug othcrs [6, 77-80].

The figure 2.18 shows the bit error rate for different LDPC codcs.

Chapter 2. Theoretical Framework

--0-- Rale 1/2 'r Rate 2/JA

10·• .-, Rale 2/38 Rate 314A

•- Rale 3/48 Rato 5/6

- - Ralo 1/2,CC K=7 1o·•L=======------'-------'---_¡___:~__¡_-__¡ __ ...L_ _ __L_~

o 0.5 1.5 2 2.5 3.5 4.5 EblNo (d8)

FIGURE 2.18: Bit error probability of LDPC codes.

38

In DVB-S2, a powcrful FEC systcm bascd on LDPC codes concatenatcd with BCH codes allow

Quasi-Error-Free operation at about 0,7 dB to 1 dB from the Shannon limit.

Chapter 3

Methodology

In ordcr to achicvc highcr lcvcls of quality and rcliability in broadcast satcllite communications

at Ku band to providc satcllitc digital tclcvision using DVB-S2 standard in Mcxican tcrritory: an

adaptive coding and modulation (ACM) scheme ha.sed on peaks over threshold analysis to mitigate

attenuation due to rain is designed according to the following methodology:

l. Prcdiction modcl and time series synthcsizcr of rain attcnuation.

(a) Meteorological data processing.

(b) Peaks over threshold analysis.

(e) Time series synthesizer.

( d) Second order statistics.

2. Design of an adaptive coding and modulation scheme.

( a) Satcllitc link budgct.

(b) BCH and LDPC codes selectiou.

(e) Encoder architectnral implementations.

3. Comparisons between fade mitigation techniques.

39

Chapter ~~- MetJwdology 40

3.1 Prediction model and time senes synthesizer of rain attenua­

tion

Fade mitigation techniques (FMTs) are designed and testecl using experimental time series of rain

attenuation in order to satisfy availability ancl quality of service (QoS) specifications. Time series

are synthesized from the long-term distribution of rain attenuation, for this rea.son, many prediction

models of rain attenuation have been proposed in order to calculate the attenuation exceeded for

sorne percentage (%) of an average year (A%)·

A time series sy11thesizer or raiu atteuuatiou basecl 011 peaks over threshol<l aualysis is propose<l as

follows:

3.1.1 Meteorological data processing

The rain rate data are collectecl with a 10 minute periocl from 120 automatic weather stations

(AWSs) distributecl throughout Mexican territory (figure 3.1). Measurements were perforrned over

a period of eleven years (January 2002 to December 2012) in order to analyze the rainy season in

the link to the geostationary satellite lntelsat 9 (-58º longitude).

FIGURE 3.1: Automatic weather stations.

Let A~t be the attenuation exceeded for 0.01 % of an average year with a 10 minute period t for

the ith automatic weather statiou with 1 S i S 120.

r/T3 (3.1)

Chapter 3. Methodology 41

Thcsc attcnuations are calculatcd following thc ITU-R rccommcndation P.618 with thc rain ratc

data collcctcd for cach AWS (scc scction 2.1.2 for thc stcp by stcp proccss).

In order to guarantee broadcast communications service in the Mexican territory, we must analyze

the maximum attenuation that occurs in each period t. Then, the total attenuation Ao.o1 is defined

for a 10 minute period t as:

[ {l} {2} {i} {120} ] Aoo1(t) = max A0_01 (t),A0_01 (t), ... ,A0_01 (t), ... ,A0_01 (t) (3.2)

3.1.2 Peaks over threshold

In broadcast satellite service, peaks over threshold analysis allows to describe the attenuation

exceeded for 0.01% of an average year (Ao.ül(t)) by a Pareto distribution. Thus, the probability

density function described in section 2.2 is defined for Ao.o1(t) as:

1 ( 1>-(Ao.01(t)-A))-t-i J(Ao.01(t) ;A,ó,K.) = 6 1 + ó (3.3)

And its cumulative density function as:

(3.4)

For grcatcr accuracy, thc paramctcrs A, ó, K- (location , scalc and shapc paramctcrs rcspcctivcly)

are calculated on a monthly period. Then, the annual model is composed for a generalized Pareto

distribution that changes its parameters in monthly periods:

(3.5)

where 1 ~ T ~ 12 corresponds to the respective month.

In order to sclect the optimum threshold, we follow a method based on mean residual lifc plot that

was proposed by Coles [59]: Let Ao.01 ( 1), Ao.01 (2), ... , Ao.01 ( n) a scquenc:e of measurements and

Ao.01 ( 1), Ao.01 (2), ... , Ao.01 (k) the sequen ce that represents thc subsct of data points that exc:ecd a

particular thresholcl u. The threshold exc:esses are definecl as xo 01 (j) = Ao.01 (j) - u for j = 1, ... , k.

Chapter 3. Methodology 42

Then, the mean residual lifc plot is defined as:

( 1 nu )

Plot u,:¡; ¿(xo.01(i)-u) u i=l

: u< xo.01(max) (3.6)

where nu is the number of observations that exceed the threshold u. The resulting plot allows to

select an optimum threshold u which is a point where the plot is virtually linear. In order to design

an ACM scheme, the point to be selected will be the highest.

Finally, the times at which peaks occnr are called peak times and are described by nonhomogeneons

Poisson process (see section 2.2.3 far more information).

3.1.3 Time series synthesizer

Fade mitigatiou teclmiques are teste<l usiug experimeutal time series. For this reason, many time

series of rain attenuation has been proposed in arder to generate more accurate attenuations. This

time series are generated from the attenuation exceedcd far 0.01 % of an average year A0_01 .

A modification of EBM model is described in figure 3.2 in arder to generate more accurate time

series of rain attenuation. In this model, µ and a vary in a period equals to 10 minutes according

to the variations of the Ao.o1 that are described by peaks over threshold.

n(t) White Gaussian

Noise

Low-Pass Filter

k X(t) ----+

p + /3

Memoryless non-linear device

exp(m + í1 · X(t))

l 1

(m, í1) +- Ao.01 1

T Ao.01 = u

-k ó A0_01 = [(1 - F(p; A, ó, k)) - 1) k + A

Calibration

----+ Aaffse< -

if p(z < u)

otherwise

FIGURE 3.2: Time series synthesizer based 011 peaks over threshold.

A(t) Rain Attenuation

(dB)

The time series, Arain ( t), is synthesize<l in the same manner as the EMD rnodel that is described

in thc section 3.1.3. The only difference in this modification is thc attenuation Ao.01 that varíes

every 10 minutes. Then, Arain(t) is synthesized following:

Chapter 3. Melhodology 43

Step 1: Calculate the probability, z, in which A0 .o1 is above the threshold: z = P( A0 01 > u). In

section 3.1.2, peaks over threshold analysis allows to determine the time in which the attenuation

Ao.o1 is over the threshold, in other words, the probability that Ao.o1 is above the threshold.

Step 2: Generate a random value, y, from the standard unifonn distribution on the interval (O, 1).

Step 3: If y < z: Ao.01 will take the value of the threshold u; otherwise, Ao.01 will be generated

using the Pareto distribution with their corresponding parameters >.(T), ó(T) and K(T).

{

u Ao.01 = J

[(1 - rnnd(l))-" - 1]; + ,\

if y< z dB (3.7)

otherwise

where rand(l) is a random value from the standard uniform distribution on the interval (O, 1).

Step 4: Calculate log-normal parameters, m and a, from Ao.o1 following the steps of section 2.1.3.1.

Step 5: Calculate /3 parameter according to section 2.1.3.2.

Step 6: Calculate A 0 ¡¡.sct as it is described in section 2.1.3.3.

Step 7: Follow steps 1 to 6 of section 2.1.3.

Step 8: Take a sample of 10 minutes and repeat steps 1 to 8.

3.1.4 Second order statistics

EMB model is simulated following the scheme of Figure 3.3 (see section 2.1.3 for more information).

Log-normal parameters, manda, are calculate with an estimate of Aoo1 obtained from the maps of

rainfall rate given in ITU-R. recommendation P.837 [41]. Then, second order statistics are calculated

in order to compare hoth models: leve! crossing rate (LCR.) and average fading dnration (AFD).

Low-Pass Filter Memoryless non-linear

device Calibration

Whi<i1~~~;,n ~~-P-~-p~I X(t) ·~1-e-xp_(_m_+_u_·X_(-t)-)~H Aoffm ~ FIGURE 3.3: Time series synthesizer - El\IB model.

Ara,n (t)

Rain Attenuation (dB)

Chapter 3. Methodology 44

The LCR at a specified leve] R, L(R), is defined as the rate at which the signa] envelope crosses

leve! R with positive slope. The AFD, A(R), is defined as the average length of the time intervals

corresponding to the envclope falling bellow to a certain lcvcl R [81].

3.2 Architectural implementations of the adaptive coding and mod­

ulation scheme

In order to satisfy availability and quality of service (QoS) specifications, an adaptive coding and

modulation scheme is designed following the DVB-S2 standard, for this reason, the encoder is based

on BCH codes concatenated with LDPC codes and interleaving [6]

The encoder is designed as the following scheme:

Kbits input

- -- - - - - -¡ BCH Encoder ,

(n,kl,hl) (n,k2,h2)

r n bits I LDPC Encoder

(m,n)

m bits

m bits output

lnterleaving _' __

FIGURE 3.4: Encoder architectural implementations scheme.

The a<laptive coding is applie<l to the BCH encoder. Thereby, when the link presents high BER

probability the encoder selects a robust BCH code, otherwise, the enco<ler selects a less robust BCH

code.

3.2.1 Signal to noise ratio

Signal-to-noise ratio (SNR) is calculated from link budget (Figure 3.5).

The link between the satellite and Earth station is defined as:

Pt 9t 9r <:2

p,. = (47T)2 R2 .f2 (3.8)

were p,. is the power receive<l by the receiving antenna; Pt is the power transmitted; 9t is the gain

of the transmitting antenna; gr is the gain of the receiving antenna; e is the spee<l of light; R is the

path length in meters; an<l f is the freqnency in hertz [82].

Chapter 3. Methodology 45

Power amplifier Receiver

Input signal Recovered signal

FIGURE 3.5: Link bn<lget.

The link budget in decibels (d13) is defined as:

Pr = Pt + Gt + Gr - 20 log(J R) + 147.6 (3.9)

In order to add the atmospheric phenomena to the link bndged, we snbtract the attennation dne

to these phenomena. Then:

Pr = Pt + Gt + Gr - 20 log(.f R) + 147.6 - A(attenuation) (3.10)

wcrc A(attenuation) is, for this thcsis, thc attcnuation duc to rain (scc scction 3.1.3).

The SNR is defined as the ratio of the received signal power, Pr to the power of the noise within

the bandwidth of the transmitted signal B [65]:

(3.11)

were E1, is the signal encrgy per bit and n is the bit time.

3.2.2 BCH code

An 13CH ( n, k) code consists of k message bits and n - k parity check bits. The coustruction of

BCH code consists of three steps [83]:

Chapter 3. Methodology 46

Stcp 1: Multiply thc mcssagc m(x) by xn-k_

Step 2: Divide m(x) by g(x) in order to obtain the remainder Rem(m(x) xn-k)g(x)

Step 3: Appending the remainder to the message in order to form the codeword.

Then, the encoding BCH follows the equation (3.12).

c(x) = m(x) xn-k + Rem(m(x) xn-k)g(x) (3.12)

wcrc g(:r) i::; thc gcncrator polynomial of thc BCH codc.

Tlie BCH e11codes is usually implemented with a serial li11ear feedback shift register (LFSR) archi­

tecture [84]. This hardware solution is the most established a11d co11sisti11g of a few flip-flops a11d

logic gates. Figure 3.6 shows the architecture of the serial BCH e11coder.

(y~ ;,_ 1 f-{,,j cy•-rg2 I : (~ g o-k-1 1

¡' ·-. 1 r" \ 1 1 , • , 1 / -~ s --.:_ + e-.¡ so '. + i-+ sl :-...: + H s2 -, --... -. + , k 1 ". / .._ J , '- , 1 1 ' n- -Input

FIGURE 3.G: Serial linear feedback shift register (LFSR).

The message sequence m(x) is injected into figure 3.6 from the left side with the most significant

bit first. After n dock cycles, the remai11der will be available i11 the delay eleme11ts.

In order to reduce the time requires to calculate the remainder in serial LFSR, the parallel archi­

tecture is proposed for the BCH encoders [85-88]. These implementations are based on unfolding

nnlike old architectmes that are hased on mathematical deduction [89, 90].

The algorithm for unfolding a data-f:low graph (DFG) by an unfolding factor J is described by [91]

as:

l. For each node U in the original DFG, draw J node::; Uo, U1, ... , U.1-1-

2. For cach cdgc U~ V with w dclay::; in thc original DFG, draw thc J cdgc::; Ui ~ V(i + w)%J

with i+_t delay::; for i = O, 1, 2, ... , J - l.

Chapter 3. Methodology

where the operation a%b is the remainder after dividing a by b.

i~I i :,~JI

FIGURE 3.7: Data-flow graph of serial BCH cnco<lcr.

FIGURE 3.8: Data-flow graph of parallel BCH eucoder.

47

Figure 3.7 shows the serial encoder DFG for thc BCH codc (31,26) with gcncrator polynomial

g(x) = x5 + x:i + l. For thc samc BCH codc, thc figure 3.8 shows thc parallcl architccturc. Thc

serial encoder requires · T periods and q logical operations to complete the codeword whereas the

parallel encoder requircs T / J periods and q x J logical operations.

3.2.3 LDPC code

Low density parity check codes requires the generator matrix G to calculate the code word as:

c=-uG (3.13)

where 11. is the information vector of length k ami G the k x n generntor matrix. This generntor

matrix for acode with parity-check matrix H can be found by performing Gauss-Jordan elimination

on H:

H = [A, f,,_k] (3.14)

Chapter 3. Methodology 48

whcrc A is a (n - k) x k binary matrix and ln-k is thc idcntity matrix with sizc n - k. Thcn, thc

gcncratorn matrix is [92, 93]:

(3.15)

Richardson and Urbanke propose an encoding algorithm that has effectively linear running time

for any code with asparse parity-check matrix [94]. In this algorithm, H is converted into the form

that is shown in the fignre 3.9 by row and col11mn permutation.

n-k g k-g +--~~~~+~1--~~~--+4 ~

:-~- º I : '~' : ',l k : T ',L -g 1 '

1 1 'J -------------~-------------~----------- I C D · E g

A B

n

FIGURE 3.9: Parity check matrix in lower triangular form.

(3.16)

where T has a lower triangular form with ali diagonal entries equal to 1 and A, B, C, D, E are

sparse matrices. Finally, the codeword e = ( s, p 1 , p2 ) is calculating as:

B

-Er- 1B + D (3.17)

where p1 and pz are the parity check bits and s is the information bits. p¡ has length g and pz has

length k - g.

3.2.4 Interleaving

Interleaving is the process to rearrange codc symbols in order to spread burst of errors into random

like errors, therefore, Lhe adjacent coded bits are spread over non-adjacent bits [95]. This process

Chapter 3. Methodology 49

irnproves the performance of digital transmission [96] at thc cost of incrcasc mcmory rcquircmcnts,

systcrn complcxity and dclay.

Many wireless systems including DVB, DVB-S2, IEEE 802.11 and IEEE 802.16 standards [5, 6,

97, 98] use an interleaving structure based on block interleaver. In this kind of interleaving, the

coded bits are written in the matrix rows and then they are read form the matrix columns. Figure

3.10 shows the interleaving structure described on the DVB-S2 standard for broadcast satellite

comm1mications.

Row 1

Rown

Collumn 1

WRITE

~--.. !

Collumn 3

READ

------------------------------·· ... Least Significant Bit

(LSB)

FIGCRE 3.10: htterleavi11g.

.....

A general architecture for the interleaving consist of three main modules: Two RAM (Ran<lom

acccss mcmory) blocks for storing input samplcs and onc addrcss gcncrator block [99]. Figure 3.11

shows thc intcrlcaving architccturc schcmc.

Input Storage block 1

(n flip-flops)

Address generator

Storage block 2

(n flip-flops)

FIGURE 3.11: lnterlcaving sch<•mc.

Output

Chapter 4

Prediction model and time series

synthesizer of rain attenuation

4.1 Meteorological data processing

Thc Raill attc1rnatioll cxcccclecl for 0.01 % of an average year ( A¡(;}01 )) is calrnlatccl followillg thc

ITU-H reco11nne11clation P.Gl8 for a periocl of eleven ycars (scc Figure: 4.1). This attcnuation is

basl'd 011 thc rain ratc cla ta collcctccl ,Yi th a l O minntc pcriod forrn 120 a u torna tic "·cathcr station.

FI(;[·¡u:; -1.1: Tiai11 attnrn,tli()ll ('X(º('('d!>d fm tJ.01 1/. .,r ,111 ,l\ 0 ('rag1· _\i('ar(dB)

;j()

Chapter 4. Prediction model and time series synlhesizer of rain attenuation 51

In order to guarantee broadcast communications service in thc Mexican territory, the maxirnum

attenuation that occurs in each period t is calculated usign the equation (3.2). Then, figure 4.2

prcsents the most important statistics of the A(O.Ol) for one year. This box plot shows that the

rainy sea.son in Mexico covers from June to October. For this rea.son, the peaks over threshold

analysis is applied only in these months.

20

m 15 ~ e g ro :::, 10 e Q)

:t:: ro ro o s~ f-

o

. ...

= ~ ;j; !i! 111

_l Jan

1 1

+ ;

+

¡ 1 ± l 1 .L

_J_ Feb Mar Apr

1

i l t +

+ + -f

1 i 1 ¡

1 1 "' 1

... i • 1

.

. 6 Q ó ó

May Jun Jul Aug Sep Oct Nov

FIGURE 4.2: Box plot of rain attenuation exceeded (year 2012)

4.2 Peaks over threshold

1

i

l . -

Dec

Angust is sclected in order to dctail step by step the peaks over threshold analysis. Then, this

analysis is applied in the rainy season of 11 years.

Figure 4.3 shows the rain attennation exceeded for 0.01 % of an average year of Augnst:

In arder to sclcct thc optimum threshold, wc follow the method based on mean residual lifc plot

(section 3.1.2). The mean residual life plot for August 2012 is shown in the figure 4.4.

Figure 4.4 shows that it is possihle to selecta threshold u in the interval (O, 3.5). In order to design

an ACM scheme, we select the maximnrn valne for the threshold. Then, the Pareto prohability

density function for A0 01 is shown in the figure 4.5 with the following parameters: u = 3.5, ,\ = O,

J = 1.81, Log(K) = -13.06.

As the samc form, we apply the Pareto distribution to all months of the 2012 rainy season. Then,

the complete Pareto analysis for the 2012 is shown in the table 4.1.

Chapter 4. Prediction model and time series synthesizer of rain attenuation 52

14

12

10

m 8 :!,!.

o

<º 6

124 248 372 496 620 744 Time 1 [Hours]

FIGURE 4.3: Raiu atte11uation excee<led (August 2012)

,,

::l o ,..¡

, ',,_/'·,,_,.,,,,' ',\',,. : -~. -

Q) --------------o X

UJ :~====- --- --- -- -- -- ---

e o "' " ::¡;

·-· .. -- ,-, "·--"-, .. -· ............. ,-,,: ... _;-..

~ o

-.,,,,

o 2 6 8 ,o 12

u

FIGURE 4.4: Mean residual life plot (August 2012)

<D o

.. o

... N o

o ci

2 6 8 10 12

X

FIGURE 4.5: Parcto probability dcnsity function (Au¡?;ust 2012)

Tlie Pareto <listributim1 is calculate<l for tlie eleveu years. Tl1e11, we ca11 sce that tl1e parallleters

of Pareto distributions follow a linear fit. Figure 4.6 and 4. 7 show the trend of the scale ancl shape

paramctcrs rcspcctivcly:

Chapter 4. Prediction model and time .series synthe.sizer of rain attenuation

TABLE 4.1: Pareto distributions for 2012 rainy season whit u= 3.5, ,\=O

ti .; E

T Month ó Log(!i) Time Over Threshold

1 June 1.9654 -1.8167 31.3 (h)

2 July 1.7976 -12.0709 39.2 (h)

3 August 1.8127 -13.0638 39.5 (h)

4 September 1.5996 -13.0987 23.2 (h)

5 Octobcr 1.5679 -14.1191 11.7 (h)

2.5~-~--~-~-~--~-~--~-~--~-~

• ---------

-------------·---------------------.-- •

~ 1.5 • --------------·----------~-------- . ~ tt --------------- • (1) ---------.. u

"' • 1/) 1

~

0_5~-~--~-~---------~-~--~--2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

Year

FIGURE 4.6: Scalc Parameter 6 for Angust

o~-~-~~-~-~--~-~--~-~--~-~

• -5

cñ -10 o _J

-15 • • •

-20'----~-~'----~-~--~-~--~-~--~-~ 2002 2003 2004 2005 2006 2007 2008 2009 201 O 2011 2012

Year

FIGURE 4. 7: Shapc Paramctcr K for A ugust

53

Linear fit for the scale pararneter ó(T) presents a correlation coefficient of 0.77 and standard error

of 0.19. For the shape parameter !i(T): the correlation coefficient is 0.51 and the standard error

is 1.31. August 2010 presents outlines for the shape parameter because it exceeds by 199% the

average. For this reason, it is not considered in the linear fit analysis.

Finally, the linear fit is applied in ali months. Then, the rainy season in Mexico is described by

Chapter 4. Prediction model and time series synthesizer of rain atlenuation 54

Parcto distribution where their scale and shape parameters vary each year. This variations follow

a linear trend (Figure 4.8 and 4.9).

2.5~----~------------------~-~

2

~ " § 1.5 ....... ___ ..... ""~·····-~·-···························· "' c. .. ~ V)

---June

July

------ Seplember ............ October

0.5'---~----'----'---~--~--~--'----L----'--~ 2002 2003 2004 2005 2006 2007 2008 2009 201 O 2011 2012

Year

FIGURE 4.8: Scale Parameter ó for rainy season

-12--------------------------~

-13

:::r---------=---~-c~s································ ~ ----j -:: ~-------=::::=·::::::~:: ........................................................ .

--June -18 July

----- Seplember -19

•••••••••• October

-20'---~----'----'---~--~--~--'---~----'--~ 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

Year

FIGURE 4.9: Shape Pararneter ,;, for rai11y seaso11

Peaks over threshold analysis allows to calculate the future Pareto parameters that reflect the

climate change, for this rea.son, this approximation is more accurate than the recommendation

ITU-R P.837.

4.3 Time series synthesizer

With the obtained Pareto para.meten; we can calculate the in::;taut rain attermation followiug the

::;tep::; de::;cribed in ::;ection 3.1.3.

For August 2012, u::;ing the Parcto paramctern of the table 4.1, thc iu::;tant rain attenuation i::; ::;hown

in figure 4.10:

Chapter 4. Prediction model and time series synthesizer of rain attenuation

25

_ 20 a, ~ e o .; ~ 15

;¡ e

: 10

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 Days

FIGURE 4.10: Instant rain at.t,f'mmt.ion (Ang11st 2012)

4.4 Second order statistics

55

In order to compare the results obtained in the previous section, we simulate the instant rain

attenuation with ITU-R parameters (see section 3.1.4).

Thc rain attcnuation gcncratcd according ITU-R rccommcndation is shown in thc picturc 4.11

in ~ e .Q cü ::, e Q) :i::

"' e ·¡¡¡ cr::

15

10

5

ou.....0L....o1L..U.J-Jl,I ........ C...,..U ........ ..L..LIILJ.L .......... LIL.L...&.......1Ulu.i... ...................... L.J,...__..__.L......L--UlcL.&..l..olil...W....IL.L.......U..U.., .........

O 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 Days

FIGURE 4.11: Instant rnin attcmmtion ITU-R (Ang11st 2012)

Table 4.2 8hows thc mean of thc 8cconcl ordcr 8tati8tic8 calculatcd from 100 8imulations for a

thrc8hold u = 3.5.

Chapter 4. Prediction model and time series synthesizer of rain attenuation 56

TABLE 4.2: Second order statistics for u= 3.5

A(t) POT analysis A(t) ITU-R recommendation

LCR (Leve! Crossing rate) (hours) 34.01 20.89

LCR (Leve! Crossing rate) (%) 4.57 2.81

AFD (Average Fading Duration) (hours) 709.99 723.11

AFD (Average Fading Duration) (%) 95.43 97.19

Table 4.3 shows the mean of the second order statistics calculated from 100 simulations for a

threshold u = 7.

TABLE 4.3: Second order statistics for 11. = 7

A(t) POT a11alysis A ( t) ITU-R recomme11<latio11

LCR (Leve! Crossing rate) (hours) 10.59 0.85

LCR (Leve! Crossing rate) (%) 1.42 0.11

AFD (Average Fading Duration) (hours) 733.41 743.15

AFD (Average Fading Duration) (%) 98.58 99.89

As showu in tables 4.2 a11d 4.3: the rain attenuatio11 synthesized with peaks over threshold presents

more rain events an<l higher peaks than the rain atte11uatio11 based 011 the ITU-R recomrne11<latio11.

Chapter 5

Adaptive coding and modulation

scheme

This chapter presents the architecture implementation of and adaptive coding and modulation

scheme. Based in the methodology described in the section 3.2, suboptimal irnplementations in

VHDL are proposed for BCH encoder, LDPC eucoder aud iuterleaving.

5.1 BCH encoder

The first BCH cacle selected for the adaptive coding scheme is tite (31,21,2) BCH code. This code

generates a codeword of 31 bits from 21 bits of message, then, this code can correct 2 error bits iu

the receptor.

The polynomial generator i::, defined as:

g(x) = x 10 + x!J + x 8 + x 6 + x:i + 1 (5.1)

g(.r) can be <lefine<l: in octal formas 3551; and in binary forma.<; 11101101001.

In arder to select the most efficient architecture, we will design the (31,31,2) BCH encoder with

serial LFSR, with 2 and 3 parallel LFSR.

Fignre 5.1 shows the <lata-flow graph of the serial encoder ami fignre 5.2 shows its VLST architectnre.

57

Chapter 5. Adaptive coding and modulation scheme

l 1 ' r -, J J J ,-----1

. ' ,s-L.i ' _.J l.....,./ ;....J s3 ~ :....., 1

X(n) -+ + -+ so -+ sl s2 + s4 + -~ + -+ s6 --+i s7 ' 1 ' \ _J .. 1 ---' J

FIGURE 5.1: BCH serial encoder (31,21,2).

entity BCH_31_21_2_serial is

port ( A: in bit;

end BCH_31_21_2_serial;

clock,reset_main in std_logic;

p_check: out bit_vector(9 downto O);

cont: out std_logic_vector (4 downto O);

reset_aux: out std_logic;

sal: out bit);

CooE 5.1: BCH serial encoder (31,21,2)

J l i.... 1 '

-+ + ,.....¡ s8 + ....... s9 -----·

~ -----!------lllllll!>tmi!LJttl.1 ~------+---~

FIGURE 5.2: VHDL <lesign of (31,21,2) BCH serial euco<ler .

.....

58

J

__ f/Q.,OM ______ m...~·º-"'--'"""'~• _ .. __ ...... ~, .. ___ ... ~;~• .. ___ -~,·----~~'" "41,0M 411l.G-fll a.o• 514.0m '°°-Ora &4U va..t ...

·:,... •o

/1- mó. 10

l1 ?J ,_.,_ '"""""""'

-·---·-- • • ···----·---- 1

FIGURE 5.3: Fuuctioual simulatiou of (31,21,2) IlCH serial cuco<lcr.

Chapter 5. Adaptive coding and modulation scheme 59

Using the unfolding algorithm described in the section 3.2.2, the (31,21,2) BCH encoder is design

as a parallcl decoder with unfolding factor j = 2. Figure 5.4 shows the data-flow graph of the BCH

encoder with two parallcl and figure 5.6 shows the VLSI architecture.

X(n) .... --+-\------.; s~! ->i + -¡~ ;¡1_., + -f----·[f-__ 1 1

+'J~L.,-__ ,+ ·_K. 1./+\--, _/ : 1 '. ,- \ ___ J · .. ____ ; \. _,,¡ . ____ ! ··~-/ \ __ - ,

_ . , _ _ _ , _ , --, 1 _ _ .----, __ \ _ r---:--- - _ __ , -X(n+ 1) -- .. : + ____ .,. so ·-----+ s2 ------' 1 + --+ s3 '-+ + ,'-+ sS , L---: + '--~ s6 ,--.. + ,'" s8 · : + L.¡ s9

L_~ L--~

FIGURE 5.4: Two parallel BCH e11co<ler (31,21,2).

entity BCH_31_2!_2_two_parallel is

port( A: in bit_vector(l downto O);

clock, reset_main : in std_logic;

p_check: out bit_vector(9 downto O);

cont: out std_logic_vector (4 downto O);

reset_aux: out std_logic;

sal: out bit_vector(l downto O));

end BCH_3!_21_2_two_parallel;

CODE 5.2: Two parallel BCH encoder (31,21,2)

jj ·-- ·-¡;.>

~-"=-~~'°~-~~--,.-~-~-·~·-•a,.~~-~·.---.- ·,,·-ssw~,,,..,_,_~·~-·,n,.•.--,,.,.,,.,..,.,_,__. __ "' ,1!1 ... ... ..

FIGURE 5.5: Functional simulation of two parallcl (31,21,2) BCH cncodcr.

Finally, using an unfolding factor J = 3, the BCH encoder with three parallel is shown in the figure

S.7 ami his VHDL architecture is shown in the figure S.8.

Chapter 5. Adaptive coding and modulation scheme 60

.---------------- --------------i!!!!ml~al!1 .. 0J

Co--15

.---lll!ll!l!!ot,eseLaux c_ ____ ....1.... ____ _,._---i!lil!li!>c,onq4 .. 0J

Co-5

Co-2

Co-11

dock l'1"".'~------------'--- ---~-_.J

FIGURE 5.6: VHDL de:;ign uf twu parnllel (31,21,2) BCH encuder.

X(n) --+; + -~ s2 l.... + ... .., + + ·>1, + ) s8 + ' /

. '

sS X(n+l) -: + '__; sl ~ + \......... s4 \ .' ! + ..... s7

---+----------'

i T T

X(n+2) • + • sO •• + .. s3 + .. + -+ s6 + l.; + .. s9

FIGURE 5.7: Three parnllel BCH encuder (31,21,2).

Chapter 5. Adaptive coding and modulation scheme

entity BCH_31_21_2_three_parallel is

port( A: in bit_vector(2 dovnto O);

clock,reset_main : in std_logic;

p_check: out bit_vector(9 dovnto O);

cont: out std_logic_vector (4 dovnto O);

reset_aux: out std_logic;

sal: out bit_vector(2 dovnto O));

end BCH_31_21_2_three_parallel;

ConE 5.3: Thrcc parnllcl BCH cncodcr (31,21,2)

,---------------------------------- --il:llll~ al(2..0J

,-----.----+-----------~- "'º"'' ··º\ ,-----+-----tj----+-,-----+----- -l!IID<•eset_aw

Coi9 .Oi p_Chedq{LOJ-regO

>.édO

+

clockrfi;>-----------'---------------~

FIGURE 5.8: VHDL clesign of three parnllel (31,21,2) BCH encoder.

61

Chapter 5. Adaptive coding and modulation scheme

,__TN._, o,-

...... ... \"). 4 91:0

'$. dw. ID

)9'.j u.o

-~ o_Lhld.

'?j ..

..... ......

FIGURE 5.9: Fu11ctio11al simulatio11 of thrcc parallcl (31,21,2) IlCH c11co<lcr.

62

Table 5.1 shows a comparison between the three architectures proposed. Three parallel leve) reduces

the operation frequency but its throughput is higher than the others two architectures.

TABLE 5.1: BCH cnrndcr (31,21,2)

Serial Architecture Two parallel Three Parallel

Family Cyclone III Cyclone III Cyclone III

Total logic elements 26/5136( < 1 %) 25/5136(< 1%) 28/5136(< 1%)

Total comhinational fnnctions 13/5136(< 1%) 14/5136(< 1%) 17 /5136( < 1 % )

Dedicated logic registers 25/5136(< 1%) 25/5136(< 1%) 25/5136(< 1%)

Total pins 20/183(11%) 22/183(12%) 24/183(13%)

Device EP3C5F256C6 EP3C5F256C6 EP3C5F256C6

Slow 1200mV 85C model 625.0 MHz 629.72 MHz 586.85 MHz

Slow l 200m V OC model 704.72 MHz 709.22 MHz 631,71 MHz

The secon<l BCH co<le for the arlaptive co<ling scheme ha.'i to he more rohust than the (31,21,2)

BCH co<le, for this reason, the co<le selecte<l is the (31,6,7) BCH co<le. This co<le generates a

codeword of 31 bits from 6 bits of message and it is capable to correct 7 error bits. Based in the

results of the table 5.1, we desigu this code usiug two parallel architecture due to this arclütecture

preseuts a maxirnum operation freque11cy. Equation (5.2) shows the poly11ornial ge11erator for this

code.

g(x) = x25 + x24 + x21 + xl9 + xlB + xl6 + xl5 + xl4 + xl3 + xll + xg + x5 + x2 + x + 1 (5_2)

Using the unfolding algorithm described in the section 3.2.2, the (31,G,7) BCH encoder i:; designas

a parallel decoder with unfolding factor .J = 2. Figure 5.10 shows the data-ftow graph of the two

parallel BCH encoder and figure 5.11 shows its VLSI architecture.

entity BCH_31 6 7 1s

port ( A: in bit_vector (1 do1rnto O);

Chapter 5. Adaptive coding and modulation scheme 63

X(n) -,+/Ll--. +/-L~

"~,, .. ~ j L , _ .,J l + ......-. s3 LL-· , + a.... s6 "-• s8 -+ + ---· slO -+e + ~· sl2 --+e +

+ ·-• s2 '---• s4 J l + ·--- sS -+ s7 -+/ + ,-"c s9 _.· + ---+, sll ~ + :·······•

t 1 l l 1 l.

FIGURE 5.10: BCH serial enco<ler (31,G,7).

~---------------------------------Gll>•Ui:i1 01

1 c.-.,.,

et,.,, c·.i-1-:,

FIGURE 5.11: VHDL design of two parallel (31,6,7) BCH enco<ler.

Chapter 5. Adaptive coding and modulalion .scheme

clock,reset_main : in std_logic;

p_cbeck: out bit_vector(24 downto O);

cont: out std_logic_vector (4 downto O);

reset_aux: out std_logic;

sal: out bit_vector(l downto O));

end BCH_31_6_7;

......

¡~ ........ ':'J .,,

....... . ..

CODE 5.4: Two parallcl 13CH cncodcr (31,6,7)

!F;º"' Dl.fJ"' M;,,. 30;,,. nJ:,,. »:•,. ,m~o,. 441;º,. ._.O,. !..41> .• ~,. Stie.,o,. ª:!',. l

FIGURE 5.12: Fnnctional simnlation uf two parnllel (31,6,7) BCH encoder.

Table 5.2 shows a VHDL architecture summary.

TARLE 5.2: BCH cm:odcr (31,6,7)

Farnily Cyclone 111

Total logic elerneuts 55/5136( < 1 %)

Total combinational fnnctiom; 26/5136(< 1%)

Dedicated logic registers 55/5136(< 1%)

Total pins 37 /183(20%)

Device EP3C5F256C6

Slow 1200mV 85C model 629.72 MHz

Slow 1200mV OC model 709.72 MHz

5.2 LDPC encoder

64

The LDPC code selectecl for the aclaptive cocling scherne is LDPC (7,4). The codeword is calculatecl

usi11g the equatim1 (3.13) iustead of lower triangular algoritlrn1 that is usiug with larger codes. Tite

Chapter 5. Adaptive coding and modulation scheme 65

parity check matrix for LDPC (7,4) is:

() 1 o 1 1 () o 1

1 1 1 o o 1 o o H= (5.3)

o o 1 o o 1 1 1

1 o o 1 1 o 1 o

The generator matrix Gis calculated using equations (3.14) and (3.15):

1 1 o 1

1 o 1 1

1 o o o G= o 1 1 1 (5.4)

o 1 o o o o 1 o o o o 1

The Tanner graph is shown in figure 5.13.

/ ' Cl

e2

e1 + e3+ es + e7 = F1 ! e3

e2+ O+ e6 + C7 = F1 e4

e4+ es+ e6 + C7 = F3 es

e6

'· /

FIGURE 5.13: Taimer grapli of (7,4) LDPC co<le.

Figure 5.14 shows the VHDL architecture an<l the figure 5.15 shows the functional simulation.

Finally, table 5.3 presents the VHDL architecture summary.

Chapter 5. Adaptive coding and modulation scheme

MuxO

MUX

conq2 .. o¡

---''---+------------+---~1[2 .. 0J

Clkllll!lftl,----------+-- ----_, Resatl!IIII!>----------_,

FIGURE 5.14: VHDL <lesigu of (7,4) LDPC co<le.

entity ldpc is

port ( A: in bit_vector (3 do1Jnto O);

Clk,E,Reset: in std_logic;

Q: out STD_LOGIC_VECTOR(2 dollnto O);

salf inal: out bit;

sal : out bit_vector(6 dollnto O));

end ldpc;

CüDE 5.5: LDPC co<lc (7,4)

MlltsT-. ... : Om

- -· ... ~o ...

'.",. "' •• ~ .. ;~ ~' .. 1J ;, Q uo

~ ...... :J ........ !?l - ..

FIGURE 5.15: Functional simulation of (7,4) LDPC code.

5.3 lnterleaving

...... 'DO .....

66

Following thc mctho<lology dcscribc<l in scction 3.2.4, wc proposc an intcrlcaving of 56 bits lcngth

and 4 columns, this because the output of the LDPC co<le is multiple of 7. The scheme of this

Chapter 5. Adaptive coding and modulation scheme

TABLE 5.3: LDPC code (7,4)

Family Cyclone III

Total logic elements 12/5136(< 1%)

Total combinational functions 12/5136(< 1%)

Dedicated logic registers 3/5136(< 1%)

Total pins 18/183(10%)

Dcvicc EP3C5F256C6

Slow l 200m V 85C modcl 968.05 MHz

Slow l 200m V OC model 1083.42 MHz

interleaving is shown in the figure 5.16.

Column 1 Column 2 Column 3 Column 4 56 42 28 14 2 1 43 1 29 1 15 1 1 1 55 41 27 13

Input 54 40 26 12 Output 53 39 25 11

52 38 24 10 51 37 23 9

50 36 22 8 49 35 21 7 48 34 20 6 47 33 19 5 46 32 18 4 45 31 17 3

44 30 16 2 43 29 15 1

FIGURE 5. lG: luterleaving scherne of 5G bits le11gth.

entity inter_56_4 is

port ( Xin: in bit;

clock

reset

in STD_LOGIC;

in STD_LOGIC;

S : out bit;

Q : out STD_LOGIC_ VECTOR (5 do11nto O));

end inter_56_4;

CooE 5.6: Interleavi11g

67

The VHDL architecture is shown in figure 5.17 an<l its functioual simulation is showu iu figure 5.18.

Finally, thc VHDL architccturc summary is shown in table 5.4.

The freqncncy response can be improve nsing pipeline in ali architectmes presented in this chap­

ter. However, pipeline is not designed for each architecture because the final adaptive coding and

mo<lulatiou arcltitecture has i11here11t pipeliues.

Chapter 5. Adaptive coding and modulation scheme

•• uo

•• " "

FIGURE 5.17: VHDL design of interleaving of 56 bits length .

FIGURE 5.18: Functional simulation of interleaving of 56 bits length.

TABLE 5.4: lllterleaving of 56 bits length

Family Cyclone III

Total logic elements 129/5136(3%)

Total combinational functions 44/5136(< 1%)

Dcdicatcd logic rcgistcrs 118/5136(2%)

Total pins 10/183(5%)

Device EP3C5F256C6

Slow 1200m V 85C model 586.17 MHz

Slow l 200m V OC model 660.94 MHz

68

Chapter 6

Results

6.1 Prediction model and time senes synthesizer

The rain attenuation exceed for the 0.01 % of an average year can be described using peaks over

threshold analysis. Then, the predict attenuation is described by Pareto distribution as:

(6.1)

In_ this way, the rainy season is composed for a generalized Pareto distribution that changes its

parameters in monthly periods form June to October, 1 S:: T S:: 5 respectively. Then, given >., ó, r;,:

the generalized Pareto distribution is:

(6.2)

Finally, the Pareto distribution parameters (scale and shape) vary over the yea!.'s following a linear

trend as shown in figures 4.8 and 4.9. Table 6.1 shows the equations of these linear trends with its

respective error deviation S. These equations was calculated from data of a period of eleven years

(2002 to 2012) (1 S:: y S:: 11).

Ali cquations of thc table 6.1 havc a good corrclation cocfficicnt, r > 0.8. Thcn, using thcsc

cquations it is possiblc to gcncratc Parcto distributions for futurc ycars: y> 11 for ycars > 2012.

69

Chapter 6. Results 70

TABLE 6.1: Linear trend of scale and i;hape parameters

Month Scale parameter ó Shape parameter Log(K)

June ó = 0.104 y - 207.75 (S = 0.11) Log(K) = -0.10 y+ 191.7 (S = 0.46)

July ó = 0.025 y - 48.56 (S = 0.13) Log(K) = 0.49 y - 1002.7 (S = 1.84)

August ó = 0.052 y - 103.4 (S = 0.09) Log(K) = 0.22 y - 465.9 (S = 1.31)

Scptcmbcr ó = 0.0174 y - 31.98 (S = 0.52) Log(K) = 0.29 y - 602.l (S = 0.90)

Octobcr ó = -0.008 y + 17.29 (S = 0.24) Log(K) = 0.13 y - 272.l (S = 1.03)

Tables 4.2 ami 4.3 shows that the rain attenuation synthesized with peaks over threshold presents

more rain events ami higher peaks than the rain attenuation ha.'ied on the ITU-R recommendation.

These differences can be clearly observed in figures 4.10 and 1.11.

6.2 Adaptive coding and modulation scheme

In order to compensate the attenuation due to extreme rain events in satellite communications at

Ku band, the adaptive coding and modulation scheme is design as shown in figure 6.1 with the

following characteristics:

Lcss robust BCH codc: (31,21,2) BCH codc with a two parallcl architccturc.

More robust BCH code: (31,6,7) BCH code with a two parallel architecture.

LDPC code (7,4).

Interleaving of 56 bits length and 4 columns.

The aclaptive scheme is applied to the BCH encoder. Then, the encoder allows to select a robust

BCH code for extreme rain events an<l a less robust BCH co<le for lower attenuatious.

Kbits input BCH Encoder

(n,kl,hl) (n,k2,h2)

n bits LDPC Encoder m bits

(m,n) lnterleaving

FIGUIH, 6.1: Encodcr architcctmal implcrncntations schcmc.

m bits output

Figure 6.2 shows thc VHDL architccturc ancl its functional simulation is shown in figure 6.3.

Chapter 6. Results

FIGURE 6.2: VHDL design of an adaptive coding scheme.

..... VMII F• -~1'11 .... f"' ',1,-.j._

..... ., ' .... "'

¡:-;... -'-a,IG'l'I 110

!~ ...,_,,. loooeoc,)))) ~'

entity acm is

port

end acm;

FIGCRE 6.3: Fu11ctio11al simulation of au a<laptive co<liug scherne.

xin: in bit_vector(l dounto O);

clock : in STD_LOGIC;

reset, select_acm in STD_LOGIC;

sal final out bit_vector(55 dounto O));

architecture OPERACIONES of acm is

component bch_31_21_2

port( A: in bit_vector(l dounto O);

clock,reset_main in std_logic;

p_check: out bit_vector(9 dounto O);

sal: out bit_ vector ( 1 dounto O));

end component bch_31_21_2;

71

Chapter 6. Results

component bch_31_6_7

port( A: in bit_vector(l downto O);

clock,reset_main : in std_logic;

p_check: out bit_vector(24 downto O);

sal: out bit_vector(l downto O));

end component bch_31_6_7;

component ldpc_7_4

port( A: in bit_vector(3 downto O);

salaux : out bit_vector(6 downto 0));

end component ldpc_7_4;

component inter_54

port ( o_sipo: in bit_vector(55 downto O);

~lock : in STD_LOGIC;

reset : in STO_LOGIC;

o_buff : out bit_vector(55 downto O));

end component inter_54;

CüDE 6.1: A<la.ptive co<ling scheme

Table 7.1 shows the VHDL architecture summary.

TABLE 6.2: Adaptive coding and modulation scheme

Family Cyclone III

Total logic elements 194/5136( 4%)

Total comhinational fnnctions 96/5136(2%)

Dedicated logic registers 193/5136(4%)

Total pins 61/183(33?%)

Device EP3C5F256C6

Slow 1200m V 85C model 531.35 MHz

Slow l 200m V OC model 591.37 MHz

72

The adaptive fade mitigation technique proposed has an ACM selector that allows to change the

BCH code. Then, the ACM proposed guarantee the availability and QoS specifications for broadcast

satellite communications.

Chapter 7

Conclusions

7 .1 Conclusions

The conclusiom; of this Doctoral thesis are:

In broadcast satellite communication at Ku band for elevation angles form 18° to 42°, the

rain attenuation exceeded for 0.01 % of an average year can be described using peaks over

threshold analysis. Then, the predict attenuation is described by Pareto distribution and the

time between fades by a nonhomogeneous Poisson process in monthly periods.

The Pareto dislrilmiion parameters (scale and shape) vary over the years following a linear

treml. The11, this statistical prediction lllodel allows to calculate future Pareto distributio11s.

These predictious reflect the climate chm1ge over the years, for this reasou, POT aualysis

allows to synthesizer future rain events with more accurate than the ITU-R P.837 recommen­

dation.

Defining a threshold allows to design an adaptive fade mitigation technique. For this reason,

POT analysis is an attractive option to design: a robust FMT to compensate the attenu­

ation when occurs extreme rain events and another less robust FMT that compensate the

attenuation when the rain attenuation is under the threshold.

In ordcr to guarantcc thc availability and QoS spccification for satcllitc communications, an

ACM schcmc is dcsigncd to compcnsatc thc attcnuations duc to thc rain fa!!. This ACM

schcmc is compound of: (31,21,2) BCH codc with a two parallcl architccturc, (31,6,7) BCH

codc with a two parallcl architccturc, LDPC codc (7,-t) all(] l11tcrlcavi11g of 56 bits lcngth and

4 columns.

73

Chapter 7. Conclusions 74

VHDL architccturc in figure 6.2 with: Table 7.1 shows thc VHDL architccturc summary .

TABLE 7 .1: Adaptive coding and modulation scheme

Family Cyclone 111

Total logic elements 194/5136( 4%)

Total combinational functions 96/5136(2%)

Dcdicatcd logic rcgistcrs 193/5136(4%)

Total pins 61/183(33%)

Dcvicc EP3C5F256C6

Slow 1200mV 85C model 531.35 MHz

Slow 1200m V OC model 591.37 MHz

Using ACM schcmcs to compcnsatc attcnuation allows to achicvc high lcvcls of channcl cffi­

ciency due to the robust codes just works in extreme rain events.

7.2 Future works

To generated a complete statistical prediction model based on peaks over threshold analysis

that inclnde others atmospheric phenomena Jike: ga.'i ahsorption, attennation dne to clouds,

among others.

Based on peaks over threshold analysis, to design an ACM scheme with stream formats and

coding parameters described on DVB-S2 standard.

Appendix A

VHDL code of (31,21,2) BCH code

( serial architecture)

Library IEEE;

use ieee.std_logic_1164.all; -- Libraries

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity BCH 31 21 2 serial is

port( A: in bit;

-- two para! !el (31,21,2) BCH code component

Inputs

clock, reset_main in std_logic;

p_check: out bit_vector (9 downto O); Outputs

cont: out std_logic_vector (4 downto O);

reset aux: out std_logic;

sal: out bit);

end BCH_31_21_2_serial;

architecture ECUACIONES of BCH_31_21 2 serial is

signa! Co: bit_vector(9 downto O);

signa! reset,reset_final:

signa! Q: std_logic_vector

be gin

std_logic;

(4 downto O);

-- Interna! signa!s

process(clock,reset)

be gin

-- BCH code, LFSR architecture

if res et = '1 J then

Co <= "0000000000";

elsif (clock' event and clock='O') then

Co(O)

Co ( 1)

Co(2)

<= A xor Co(9);

<= Co(O);

<= Co(l);

75

Appendix A. VHDL code of (.'il,21,2) BCH code (serial architecture)

Co(3) <= Co (2) xor Co (9);

Co(4) <= Co (3);

Co (5) <= Co (4) xor Co (9);

Co(6) <= Co (5) xor Co (9);

Co(7) <= Co(6);

Co(B) <= Co (7) xor Co (9);

Co(9) <= Co (8) xor Co (9);

end if;

end process;

process ( clock, res et)

be gin

-- 5-bit asynchronous counter

if res et = '1 • then

Q <= "00000";

elsif (clock' event and clock •o•) then

end if;

end process;

Q <= Q + 1;

Reset "ON" after 32 ctock cyctes

reset <= (Q(4) and Q(3) and Q(2) and Q(l) and Q(O)) and reset_main;

reset_final <= Q(4);

reset_aux <= reset;

cont <= Q;

sal <= A·

process (reset_final)

begin

Parity check bits (remainder) after 32 periods

if (reset_final' event and reset final

p_check <= Co;

end if;

end process;

end ECUACIONES;

'O') then

76

Appendix B

VHDL code of (31,21,2) BCH code

( two parallel architecture)

Library IEEE;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

Libraries

entity BCH_31_21_2_two_parallel is -- Two para[ !et (31,21,2) BCH code component

port( A: in bit_vector(1 downto O); -- Inputs

clock,reset_main : in std_logic;

p_check: out bit_vector(9 downto O);

cont: out std_logic_vector (4 do\lnto O);

reset_aux: out std_logic;

sal: out bit_vector(1 downto O));

end BCH_31_21_2_two_parallel;

architecture ECUACIONES of BCH_31_21_2_two_parallel is

-- Outputs

signa! Co: bit_vector(9 do\lnto O);

signa! reset,reset_final: std_logic;

signa! Q: std_logic_vector (4 downto O);

-- Interna[ signats

be gin

process(clock,reset)

begin

if res et = J 1' then

-- BCH code , LFSR architecture

Co <= "0000000000";

elsif (clock' event and clock='O') then

Co(O) <= A(1) xor Co(B) xor Co(9);

Co (1) <= A (0) xor Co (9);

.:o(2) <= Co(O);

77

Appendix B. VHDL code of (:Jl,21,2} BCH code (two parallel architecture)

Co(3) <• Co ( 1) xor Co(8) xor Co (9);

Co(4) <= Co (2) xor Co (9);

Co(S) <= Co (3) xor Co(8) xor Co ( 9) ;

Co(6) <= Co (4) xor Co (9) xor Co (8) xor Co(9);

Co (7) <= Co (5) xor Co (9);

Co(8) <= Co (6) xor Co(8) xor Co(9);

Co (9) <= Co (7) xor Co(9) xor Co (8) xor Co (9);

end if j

end process;

process (clock,reset)

be gin

-- 5-bit asynchronous counter

if reset = '1' then

Q <• "00000";

elsif (clock • event and clock

Q <= Q + 1 ;

'O') then

end if;

end process;

Reset "ON" after 16 ctock cyctes

res et <= Q (4);

reset_final <= Q(3);

reset_aux <= reset;

cont <• Q;

sal <= A;

process (reset_final)

begin

Parity check bits (remainder) after 16 periods

if (reset_final • event and reset_final

p_check <= Co;

end if;

end process;

end ECUACIONES;

'1') then

78

Appendix C

VHDL code of (31,21,2) BCH code

( three parallel architecture)

Library IEEE;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

-- libraries

entity BCH_31_21_2_three_parallel is -- Tree parattet (31,21,2) BCH code component

port( A: in bit_vector(2 doYnto O); -- Inputs

clock,reset_main : in std_logic;

p_check: out bit_vector(9 doYnto O);

cont: out std_logic_vector (4 doYnto O);

reset_aux: out std_logic;

sal: out bit_vector(2 doYnto O));

end BCH_31_21_2_three_parallel;

architecture ECUACIONES of BCH_31_21_2_three_parallel is

Outputs

signa! Co: bit_vector(9 doYnto O);

signa! reset, reset_final: std_logic;

signa! Q: std_logic_vector (4 doYnto O);

-- Internat signats

begin

process(clock,reset)

be gin

-- BCH code , LFSR architecture

if res et = '1' then

Co <=

elsif (clock'

Co (O)

Co ( 1)

Co(2)

"0000000000";

event and clock='O')

<= A (2) xor Co(7) xor

<= A ( 1) xor Co (8) xor

<= A (O) xor Co (9);

79

then

Co(9) xor Co(8) xor Co(9);

Co (9);

Appendix C. VHDL code of {.'11,21,2} BCH code (three parallel architecture}

Co(3) <= Co(O) xor Co (7) xor Co(9) xor Co (8)

Co(4) <= Co (1) xor Co (8) xor Co(9);

Co (5) <• Co (2) xor Co (9) xor Co(7) xor Co (9)

Co (6) <= Co (3) xor Co (8) xor Co (9) xor Co(7)

Co(7) <= Co (4) xor Co (9) xor Co (8) xor Co(9);

Co(8) <= Co(5) xor Co (9) xor Co(7) xor Co (9)

Co(9) <= Co (6) xor Co (8) xor Co (9) xor Co (7)

end if;

end process;

process ( clock, res et)

be gin

-- 5-bit asynchronous counter

if reset = '1' then

Q <= "00000";

elsif (clock • event and clock

Q <= Q + 1;

end if;

end process;

Reset "ON" a/ter 11 ctock cyctes

res et <= Q (3) and Q ( 1) and Q (0)

reset_final <= Q(3) and Q(1);

reset_aux <• reset;

cont <= Q;

sal <= A·

'0') then

xor

xor

xor

xor

xor

Co (9);

Co(8) xor Co(9);

Co (9) xor Co(8)

Co(8) xor Co(9);

Co(9) xor Co(8)

process (reset_final)

be gin

Parity check bits (remainder) a/ter 11 periods

if (reset_final' event and reset final

p_check <= Co;

end if;

end process;

end ECUACIONES;

'1 ') then

80

xor Co(9);

xor Co(9);

Appendix D

VHDL code of (31,6,7) BCH code

( two parallel architecture)

Library IEEE;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

-- Libraries

entity BCH 31 6 7 is -- Tree parattet (31,21,2) BCH code component

port( A: in bit_vector(l downto O);

clock,reset_main : in std_logic;

p_check: out bit_vector(24 downto O);

cont: out std_logic_vector (4 downto O);

reset_aux: out std_logic;

sal: out bit_vector(l downto O));

end BCH_31_6_7;

architecture ECUACIONES of BCH 31 6 7 is

Inpu ts

-- Outputs

signa! Co: bit_vector(24 dounto O);

signa! re set, reset_final: std_logic;

signa! Q: std_logic_vector (4 dounto O);

-- Interna! signats

be gin

process(clock,reset)

begin

if reset = '1J then

-- BCH code , LFSR architecture

Co <= "0000000000000000000000000";

elsif (clock • event and clock= '0') then

Co (O) <= A (1) xor Co (23) xor Co (24);

Co(l) <= A(O) xor Co(23) xor Co(24) xor Co(24);

Co (2) <= Co (23) xor Co (24) xor Co (O) xor Co (24);

81

Appendix D. VHDL code of (.'ll,6, 7) BCH code (two parallel architecture)

Co(3) <= Co(l) xor Co(24);

Co(4) <= Co (2);

Co(5) <= Co (3) xor Co(23) xor Co(24);

Co(6) <= Co(4) xor Co(24)

Co (7) <= Co (5);

Co(B) <= Co(6);

Co(9) <= Co(7) xor Co (23) xor Co(24);

Co ( 1 O) <= Co (8) xor Co(24);

Co ( 11) <= Co (9) xor Co(23) xor Co(24);

Co(12) <= Co (10) xor Co (24);

Co(13) <= Co ( 11) xor Co (23) xor Co(24);

Co ( 14) <= Co(23) xor Co(24) xor Co (12) xor Co(24);

Co (15) <= Co(23) xor Co(24) xor Co ( 13) xor Co(24);

Co ( 16) <= Co(23) xor Co(24) xor Co(14) xor Co(24);

Co(17) <= Co(15) xor Co (24);

Co ( 18) <= Co ( 16) xor Co(23) xor Co(24);

Co(19) <= Co(23) xor Co(24) xor Co ( 17) xor Co (24);

Co(20) <= Co ( 1 B) xor Co (24);

Co ( 21) <= Co ( 19) xor Co(23) xor Co(24);

Co(22) <= Co(20) xor Co (24);

Co(23) <= Co (21);

Co(24) <= Co(22) xor Co(23) xor Co (24);

end if;

end process;

process (clock, res et)

be gin

-- 5-bit asynchronous counter

if res et = '1' then

Q <= "00000";

elsif (clock' event and clock

Q <": Q + 1;

'0') then

end if;

end process;

Reset "ON" after 16 ciock cyctes

res et <= Q (4);

reset_final <= Q(3);

reset_aux <= reset;

cont <= Q;

sal <= A·

process (reset_final)

be gin

Parity check bits (remainder) after 16 periods

if (reset_final' event and reset final

p_ check <= Co;

end i f ;

end process;

end ECUACIONES;

'1 ') then

82

Appendix E

VHDL code of (7,4) LDPC code

Library IEEE;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

-- Libraries

entity ldpc is

port ( A: in

-- (7,4) LDPC code component

bit_vector(3 downto O);

Clk,E,Reset: in std_logic;

Q: out STD_LOGIC_VECTOR(2 downto O);

salf inal: out bit;

sal : out bit_vector(6 downto O));

end ldpc;

architecture ECUACIONES of ldpc is

signal p1,p2,p3,p4,p5,p6,p7: bit_vector(3 downto O);

signal salaux: bit_vector(6 downto O);

signal cont : std_logic_vector(2 downto O);

signal resetcont: std_logic;

be gin

pl <= 11 11 o 1 11 ; -- Matrix generator

p2 <= "1011" ;

p3 <= "1000";

p4 <= "O 111" ;

p5 <= "0100";

p6 <= "0010";

p7 <= "0001";

-- LDPC code operations (m o: G)

G

-- Inputs

-- Outputs

-- InternaL signaLs

salaux(O) <= (A(3) and pl(O)) xor (A(2) and p1(1)) xor (A(l) and p1(2)) xor (A(O) and p1(3));

83

Appendix F. VHDL code of (7,4} LDPC code}

salaux (1) <= (A (3) and p2 (0)) xer (A(2) and p2 ( 1)) xer ( A ( 1)

salaux(2) <= ( A (3) and p3(0)) xer (A (2) and p3 ( 1)) xer ( A ( 1)

salaux (3) <= ( A (3) and p4 (0)) xer (A (2) and p4 ( 1)) xer (A ( 1)

salaux(4) <= ( A (3) and p5 (0)) xer (A (2) and p5(1)) xer ( A ( 1)

salaux(5) <= (A(3) and p6(0)) xer (A (2) and p6 ( 1)) xer ( A ( 1)

salaux(6) <= ( A (3) and p7 (O)) xer ( A ( 2) and p7 ( 1)) xer ( A ( 1)

precess(Clk, resetcent) -- 3-bit asynchronous counter

be gin

if (resetcent = '1') tben

cent<= (OTHERS => '0');

elsif ((Clk' event) and (Clk = '1')) then

end if;

end precess;

cont <= cont + 1;

Reset "ON" after 7 dock cydes

Q <= cent;

resetcent <= (cent (2) and cent (1) and cent (O)) xer Reset;

and p2(2))

and p3(2))

and p4 (2))

and p5 (2))

and p6 ( 2))

and p7 (2))

precess (cent(2 dewnte 0),E,salaux(6 dewnte 0))

be gin

-- Hultiplexer

if E = '1' then

salfinal <= '0';

else

case cent(2 dewnte O) is

when 11 000 11 => salfinal <= salaux(6);

when 11 001 11 => salfinal <= salaux(5);

when "010" => salfinal <= salaux(4);

when "O 11" => salfinal <= salaux(3);

when 11 100 11 => salfinal <= salaux(2);

when 11 101 11 => salfinal <= salaux (1);

when "110" => salfinal <= salaux(O);

when ethers => sal final <= 'º J;

end case;

end if;

end process;

sal (6) <= salaux(6); -- Paral!el output

sal(5) <= salaux(5);

sal ( 4) <= salaux(4);

sal(3) <= salaux(3);

sal (2) <= salaux(2);

sal ( 1) <= salaux(l);

sal (0) <= salaux(O);

end ECUACIONES;

84

xer (A(O) and p2 (3));

xer ( A (0) and p3 (3));

xer (A (0) and p4(3));

xer (A (O) and p5(3));

xer (A (0) and p6 (3));

xer (A(O) and p7 (3));

Appendix F

VHDL code of interleaving

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

-- Libraries

entity inter_56_4 is -- Inter!eaving code component

port ( Xin: in bit; -- Inputs

clock in STD_LOGIC;

reset in STD_LOGIC;

S out bit; -- Outputs

Q out STD_LOGIC_VECTOR(5 dovnto O));

end inter_56_4;

architecture rtl of 1nter 56 4 is

signal cont : std_logic_vector(5 dovnto O);

signal o_sipo,o_buff : bit_vector(55 dovnto O);

signal reset_aux : STD_LOGIC;

begin

process(clock, reset_aux)

be gin

if ( reset_aux = • 1 •) then

cont <= (OTHERS => '0');

elsif ( ( clock • event) and ( clock

end if;

end process;

cont <=cent+ 1;

-- Reset "ON" after 56 c!ock cyc!es

-- Interna! signais

-- 6-bit asynchronous counter

·o.)) then

reset_aux <= ((cont(S) and cont(4)) and cont(3) and cont(l));

Q <= cont;

85

Appendix F. VHDL code of interleaving

process(clock,reset)

be gin

if re set = '1' then

86

-- Serial to parallel converter

o_sipo<="OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOO";

elsif ( clock • event and clock='l') then

o_sipo(55) <= Xin;

o_sipo(54) <= o_sipo(55);

o_sipo(53) <= o_sipo(54);

o_sipo(52) <= o_sipo(53);

o_sipo (51) <= o_sipo(52);

o_sipo(50) <= o_sipo(51);

o_sipo(49) <= o_sipo(50);

o_sipo(48) <= o_sipo(49);

o_sipo(47) <= o_sipo(48);

o_sipo(46) <= o_sipo(47);

o_sipo(45) <= o_sipo(46);

o_sipo(44) <= o_sipo(45);

o_sipo(43) <= o_sipo(44);

o_sipo(42) <= o_sipo(43);

o_sipo(41) <= o_sipo(42);

o_sipo(40) <= o_sipo (41);

o_sipo(39) <= o_sipo(40);

o_sipo(38) <= o_sipo (39);

o_sipo (37) <= o_sipo(38);

o_sipo (36) <= o_sipo(37);

o_sipo (35) <= o_sipo (36);

o_sipo(34) <= o_sipo(35);

o_sipo(33) <= o_sipo (34);

o_sipo (32) <= o_sipo(33);

o_sipo(31) <= o_sipo(32);

o_sipo(30) <= o_sipo (31);

o_sipo (29) <= o_sipo(30);

o_sipo(28) <= o_sipo (29);

o_sipo(27) <= o_sipo (28);

o_sipo(26) <= o_sipo (27);

o_sipo(25) <= o_sipo(26);

o_sipo(24) <= o_sipo(25);

o_sipo(23) <= o_sipo(24);

o_sipo(22) <= o_sipo (23);

o_sipo(21) <= o_sipo(22);

o_sipo(20) <= o_sipo (21);

o_sipo(19) <= o_sipo(20);

o_sipo(18) <= o_sipo (19);

o_sipo(17) <= o_sipo(18);

o_sipo (16) <= o_sipo(17);

o_sipo(15) <= o_sipo(16);

o_sipo(14) <= o_sipo (15);

o_sipo(13) <= o_sipo (14);

o_sipo(12) <= o_sipo ( 13);

o_sipo (11) <= o_sipo (12);

Appendix F. VHDL code of interleaving

end if;

end process;

o_sipo(10)

o_sipo(9)

o_sipo(8)

o_sipo (7)

o_sipo (6)

o_sipo(5)

o_sipo(4)

o_sipo(3)

o_sipo (2)

o_sipo(1)

o_sipo(O)

process ( cont (5) , res et)

be gin

<=

<=

<=

<=

<=

<=

<=

<=

<=

<=

<=

if res et = '1' then

o_sipo(11);

o_sipo (10);

o_sipo(9);

o_sipo(8);

o_sipo(7);

o_sipo(6);

o_sipo(5);

o_sipo (4);

o_sipo (3);

o_sipo(2);

o_sipo(1);

-- Interleaving operations

87

o_buff <= "00000000000000000000000000000000000000000000000000000000";

elsif ( cont (5)' event and cont(5)='0') then

o_buff(55) <= o_sipo(55);

o_buff (54) <= o_sipo (41);

o_buff (53) <= o_sipo(27);

o_buff (52) <= o_sipo(13);

o_buff (51) <= o_sipo(54);

o_buff(50) <= o_sipo(40);

o_buff(49) <= o_sipo(26);

o_buff (48) <= o_sipo (12);

o_buff (47) <= o_sipo(53);

o_buff (46) <= o_sipo(39);

o_buff (45) <= o_sipo(25);

o_buff (44) <= o_sipo(11);

o_buff (43) <= o_sipo(52);

o_buff (42) <= o_sipo(38);

o_buff (41) <= o_sipo(24);

o_buff (40) <= o_sipo ( 10);

o_buff (39) <= o_sipo (51);

o_buff (38) <= o_sipo (37);

o_buff (37) <= o_sipo(23);

o_buff (36) <= o_sipo(9);

o_buff (35) <= o_sipo(50);

o_buff (34) <= o_sipo(36);

o_buff (33) <= o_sipo (22);

o_buff (32) <= o_sipo (8);

o_buff (31) <= o_sipo(49);

o_buff (30) <= o_sipo (35);

o_buff (29) <= o_sipo(21);

o_buff (28) <= o_sipo(7);

o_buff (27) <= o_sipo(48);

o_buff (26) <= o_sipo(34);

o_buff (25) <= o_sipo (20);

o_buff (24) <= o_sipo(6);

Appendix F. VHDL code of interleaving

o_buff(23) <= o_sipo(47);

o_buff (22) <= o_sipo(33);

o_buff (21) <= o_sipo(19);

o_buff (20) <= o_sipo(5);

o_buff (19) <= o_sipo(46);

o_buff ( 18) <= o_sipo(32);

o_buff(17) <= o_sipo ( 18);

o_buff (16) <= o_sipo (4);

o_buff(15) <= o_sipo(45);

o_buff (14) <= o_sipo (31);

o_buff(13) <= o_sipo ( 17);

o_buff (12) <= o_sipo (3);

o_buff (11) <= o_sipo(44);

o_buff (10) <= o_sipo(30);

o_buff (9) <= o_sipo(16);

o_buff (8) <= o_sipo (2);

o_buff (7) <= o_sipo(43);

o_buff (6) <= o_sipo(29);

o_buff (5) <= o_sipo(15);

o_buff (4) <= o_sipo (1);

o_buff (3) <= o_sipo(42);

o_buff (2) <= o_sipo(28);

o_buff(l) <= o_sipo ( 14);

o_buff (0) <= o_sipo(O);

end if;

end process;

process ( cont (5 do11nto O), o_buff (55 do11nto O))

begin

-- Parallel to serial converter

case cont(5 do11nto O) is

11hen "000000" => s <= o_buff (O);

11hen "000001" => s <= o_buff(l);

11hen "000010" => s <= o_buff (2);

1,1hen "000011" => s <= o_buff(3);

11hen "000100" => s <= o_buff (4);

when "000101" => s <= o_buff (5);

when "000110" => s <= o_buff (6);

when "000111" => s <= o_buff (7);

when "001000" => s <= o_buff(8);

when "001001" => s <= o_buff (9);

1,1hen "001010" => s <= o_buff ( 10);

when "001011" => s <= o_buff(ll);

11hen "001100" => s <= o_buff (12);

when "001101" => s <= o_buff(13);

when "001110" => s <= o_buff (14);

when "001111" => s <= o_buff (15);

11hen "010000" => s <= o_buff (16);

when "010001" => s <= o_buff ( 17);

when "010010" => s <= o_buff (18);

when "010011" => s <= o_buff (19);

when "010100" => s <= o_buff (20);

88

Appendix F. VHDL code of interleaving 89

when "010101" => s <= o_buff (21);

when "010110" => s <= o_buff (22);

when "010111" => s <= o_buff(23);

when "011000" => s <= o_buff (24);

when "011001" => s <= o_buff (25);

when "011010" => s <= o_buff(26);

when "011011" => s <= o_buff (27);

when "011100" => s <= o_buff (28);

when "011101" => s <= o_buff (29);

when "011110" => s <= o_buff(30);

when "011111" => s <= o_buff (31);

when "100000" => s <= o_buff (32);

when "100001" => s <= o_buff (33);

when "100010" => s <= o_buff (34);

when "100011" => s <= o_buff (35);

when "100100" => s <= o_buff (36);

when "100101" => s <= o_buff (37);

when "100110" => s <= o_buff (38);

when "100111" => s <= o_buff (39);

when "101000" => s (z o_buff (40);

when "101001" => s <= o_buff (41);

when "101010" => s <= o_buff(42);

when "101011" => s <= o_buff (43);

when "101100" => s <= o_buff (44);

when "101101" => s <= o_buff (45);

when "101110" => s <= o_buff (46);

when "101111" => s <= o_buff (47);

when "110000" => s <= o_buff (48);

when "110001" => s <= o_buff (49);

when "110010" => s <= o_buff (50);

when "110011" => s <= o_buff (51);

when "110100" => s <= o_buff (52);

when "110101" => s <= o_buff (53);

when "110110" => s <= o_buff (54);

when "110111" => s <= o_buff (55);

when DTHERS => s <= '0' j

end case;

end process;

end rtl;

Appendix G

VHDL code of ACM encoder

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

-- Libraries

entity acm is

port

-- ACH encoder component

xin: in bit_vector(1 downto O); Inputs

clock : in STD_LOGIC;

reset ,select_acm in STD_LOGIC;

sal final out bit_vector(55 downto O));

end acm;

architecture OPERACIONES of acm is

component bch_31_21_2 -- (31,21,2) BCH code component

port( A: in bit_vector(1 downto O);

clock,reset_main in std_logic;

p_check: out bit_vector (9 downto O);

sal: out bit_vector(l downto O));

end component bch_31_21_2;

component bch_31_6_7 -- (31,6,7) BCH code component

port( A: in bit_vector(1 downto O);

clock,reset_main in std_logic;

p_check: out bit_vector (24 downto O);

sal: out bit_vector(1 downto O));

end component bch_31_6_7;

component ldpc_7_4 (7,4) LDPC code component

port( A: in bit_vector(3 downto O);

salaux out bit_vector(6 downto O));

end component ldpc_7_4;

component inter 56 Interieaving component

90

-- Outputs

Appendix G. VHDL code of ACM encoder

port( o_sipo: in bit_vector(55 downto O);

clock

res et

in STD_LOGIC;

in STD_LOGIC;

o_buff : out bit_vector(55 downto O));

end component inter_56;

signa! sal_l, sal_2: bit_vector(l downto O);

signa! pch_l: bit_vector(9 downto O);

-- Interna! signa!s

signal pch_2: bit_vector(24 downto O);

signa! Q: std_logic_ vector (4 downto O);

signa! o_sipo_l, o_sipo_2, o_sipo_3: bit_vector(31 downto O);

signa! S: bit_vector(55 downto O);

be gin

-- Port connections

BCHl: bch 31 21 2 port map

BCH2: bch_31_6_7 port map

xin, clock, reset, pch_l, sal_l);

xin, clock, reset, pch_2, sal_2);

LDPC1: ldpc_7_4 port map (o_sipo_3(3 downto O) ' S(6 downto O));

LDPC2: ldpc_7_4 port map (o_sipo_3(7 downto 4) • S ( 13 downto 7)) ;

LDPC3: ldpc_ 7 - 4 port map (o_sipo_3 (11 downto 8). S(20 downto

LDPC4: ldpc_7_4 port map (o_sipo_3 (15 downto 12) , S(27 downto

LDPC5: ldpc_7_4 port map (o_sipo_3(19 downto 16) , S (34 downto

LDPC6: ldpc_7_4 port map (o_sipo_3(23 downto 20) , S(41 downto

LDPC7: ldpc_7_4 port map (o_sipo_3(27 downto 24), S(48 downto

LDPC8: ldpc _ 7 _ 4 port map (o_sipo_3(31 downto 28), S(55 do1rnto

INTERLEAVING: inter_54 port map (S, clock, reset, sal_final);

process (clock,reset)

begin

if res et = • 1 • then

Q <= "00000";

elsif (clock'

Q <= Q

end if;

end process;

Outputs of (31,21,2)

process(clock,reset)

begin

event and

+ 1;

BCH code

-- 5-bit asynchronous counter

clock '0') then

if reset = '1' then

o_sipo_l<="OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOO";

elsif (clock' event and clock='l') then

o_sipo_l (31) <= pch_l(9);

o_sipo_l (30) <= pch_l (8);

o_sipo_l (29) <= pch_l(7);

o_sipo_l (28) <= pch_l (6);

14));

21)) ;

28));

35));

42));

49));

91

Appendix G. VHDL code of ACM encoder

o_sipo_l (27) <~

o_sipo_1(26) <=

o_sipo_l (25) <=

o_sipo_1(24) <=

o_sipo_1(23) <=

o_sipo_1(22) <=

o_sipo_l (21) <=

o_sipo_1(20) <=

o_sipo_l (19) <=

o_sipo_l (18) <=

o_sipo_l (17) <=

o_sipo_1 (16) <=

o_sipo_l (15) <=

o_sipo_l (14) <=

o_sipo_l (13) <=

o_sipo_l (12) <=

o_sipo_l (11) <=

o_sipo_ 1 ( 10) <=

o_sipo_l (9) <=

o_sipo_l (8) <~

o_sipo_l(7) <=

o_sipo_l (6) <=

o_sipo_1 (5) <=

o_sipo_l (4) <=

o_sipo_l (3) <=

o_sipo_1(2) <=

o_sipo_l (1) <=

o_sipo_l (0) <=

end if;

end process;

Outputs of (31, 6, 7) BCH code

process(clock,reset)

be gin

if reset = '1' then

pch_1(5);

pch_1(4);

pch_l (3);

pch_1(2);

pch_1(1);

pch_l(O);

sal_l (1);

sal_l(O);

o_sipo_l (21);

o_sipo_1 (20);

o_sipo_l (19);

o_sipo_1(18);

o_sipo_l (17);

o_sipo_1 (16);

o_sipo_l (15);

o_sipo_l (14);

o_sipo_l (13);

o_sipo_l (12);

o_sipo_l (11);

o_sipo_l (10);

o_sipo_1(9);

o_sipo_l (8);

o_sipo_1(7);

o_sipo_1(6);

o_sipo_1(5);

o_sipo_1(4);

o_sipo_1(3);

o_sipo_l(2);

o_sipo_2<="00000000000000000000000000000000";

elsif ( clock' event and clock='1') then

o_sipo_2 (31) <= pch_2(24);

o_sipo_2(30) <= pch_2(23);

o_sipo_2(29) <= pch_2(22);

o_sipo_2(28) <= pch_2(21);

o_sipo_2(27) <= pch_2(20);

o_sipo_2(26) <= pch_2(19);

o_sipo_2 (25) <= pch_2(18);

o_sipo_2 (24) <= pch_2(17);

o_sipo_2 (23) <= pch_2(16);

o_sipo_2(22) <= pch_2(15);

o_sipo_2 (21) <= pch_2(14);

o_sipo_2(20) <= pch_2(13);

o_sipo_2 (19) <= pch_2 (12);

92

Appendix G. VHDL code of ACM encoder 93

o_sipo_2(18) <= pch_2(11);

o_sipo_2(17) <= pch_2(10);

o_sipo_2(16) <= pch_2(9);

o_sipo_2(15) <= pch_2 (8);

o_sipo_2(14) <= pch_2(7);

o_sipo_2(13) <= pch_2 (6);

o_sipo_2 (12) <= pch_2 (5);

o_sipo_2(11) <= pch_2 (4);

o_sipo_2(10) <= pch_2 (3);

o_sipo_2(9) <= pch_2(2);

o_sipo_2(8) <= pch_2 (1);

o_sipo_2(7) <= pch_2 (0);

o_sipo_2(6) <= sal_2(1);

o_sipo_2(5) <= sal_2 (0);

o_sipo_2(4) <= o_sipo_2(6);

o_sipo_2(3) <= o_sipo_2(5);

o_sipo_2(2) <= o_sipo_2(4);

o_sipo_2 (1) <= o_sipo_2(3);

o_sipo_2(0) <= o_sipo_2 (2);

end if;

end process;

Selector ACH encoder: if select acm

if select_acm

then use outputs from (31,21,2) BCH code

O then use outputs from (31,6,7) BCH code

process(select_acm)

be gin

if select_acm = '1' then

o_sipo_3 (31) <= o_sipo_l (31);

o_sipo_3 (30) <= o_sipo_1(30);

o_sipo_3(29) <= o_sipo_1(29);

o_sipo_3(28) <= o_sipo_l (28);

o_sipo_3(27) <= o_sipo_1(27);

o_sipo_3(26) <= o_sipo_l (26);

o_sipo_3(25) <= o_sipo_1(25);

o_sipo_3(24) <= o_sipo_1(24);

o_sipo_3(23) <= o_sipo_l (23);

o_sipo_3(22) <= o_sipo_l (22);

o_sipo_3(21) <= o_sipo_1 (21);

o_sipo_3(20) <= o_sipo_1(20);

o_sipo_3(19) <= o_sipo_l (19);

o_sipo_3(18) <= o_sipo_l (18);

o_sipo_3 ( 17) <= o_sipo_l (17);

o_sipo_3 ( 16) <= o_sipo_l (16);

o_sipo_3(15) <= o_sipo_l (15);

o_sipo_3(14) <= o_sipo_l ( 14);

o_sipo_3(13) <= o_sipo_l (13);

o_sipo_3 (12) <= o_sipo_l (12);

o_sipo_3 ( 11) <= o_sipo_l (11);

o_sipo_3(10) <= o_sipo_l (10);

o_sipo_3(9) <= o_sipo_l (9);

Appendix G. VHDL code of ACM encoder 94

o_sipo_3 (8) <= o_sipo_l(8);

o_sipo_3(7) <= o_sipo_l (7);

o_sipo_3(6) <= o_sipo_l(6);

o_sipo_3(5) <= o_sipo_l (5);

o_sipo_3(4) <= o_sipo_l(4);

o_sipo_3(3) <= o_sipo_1(3);

o_sipo_3 (2) <= o_sipo_1(2);

o_sipo_3 (1) <= o_sipo_l (1);

o_sipo_3(0) <= o_sipo_l (0);

else

o_sipo_3 (31) <= o_sipo_2(31);

o_sipo_3(30) <= o_sipo_2(30);

o_sipo_3(29) <= o_sipo_2(29);

o_sipo_3(28) <= o_sipo_2(28);

o_sipo_3(27) <= o_sipo_2(27);

o_sipo_3(26) <= o_sipo_2(26);

o_sipo_3(25) <= o_sipo_2(25);

o_sipo_3(24) <= o_sipo_2(24);

o_sipo_3(23) <= o_sipo_2(23);

o_sipo_3(22) <= o_sipo_2(22);

o_sipo_3 (21) <= o_sipo_2(21);

o_sipo_3(20) <= o_sipo_2(20);

o_sipo_3 (19) <= o_sipo_2(19);

o_sipo_3(18) <= o_sipo_2 (18);

o_sipo_3 (17) <= o_sipo_2 (17);

o_sipo_3(16) <= o_sipo_2 (16);

o_sipo_3(15) <= o_sipo_2(15);

o_sipo_3(14) <= o_sipo_2 (14);

o_sipo_3 ( 13) <= o_sipo_2(13);

o_sipo_3(12) <= o_sipo_2 (12);

o_sipo_3(1q <= o_sipo_2 (11);

o_sipo_3 (10) <= o_sipo_2(10);

o_sipo_3(9) <= o_sipo_2(9);

o_sipo_3(8) <= o_sipo_2(8);

o_sipo_3(7) <= o_sipo_2(7);

o_sipo_3(6) <= o_sipo_2(6);

o_sipo_3(5) <= o_sipo_2(5);

o_sipo_3(4) <= o_sipo_2(4);

o_sipo_3(3) <= o_sipo_2(3);

o_sipo_3(2) <= o_sipo_2(2);

o_sipo_3(1) <= o_sipo_2(1);

o_sipo_3(0) <= o_sipo_2(0);

end if;

end process;

end DPERAC IONES;

Bibliography

[l] ITU-T. Vocalmlary of tenus for broadba11d aspects of ISDN. Rec01mne11datio11 I.113, 1997.

[2] ITU Regnlatory & Market Environment. Regnlation of global hroadband satellite comm11ni­

cations. Broadband series, 2012.

[3] COFETEL. Comunicado de prensa no. 10/2014, February 2014.

[4] ITU-R. Techniques for minimizing the impact on the overall DSS system performance dueto

rain along the feeder-link path. Recommendation B0.794, 2003.

[5] ETSI EN 300 421. Digital video broadca8ting (DVB); framing structure, channel coding and

modulation for 11/12 Ghz satellite service. Version 1.1.2, 1997.

[6] ETSI EN 302 307. Digital video broadcasting (DVB); sccond gcncration framing structurc,

channel coding and moclulation systems for broadcasting, interactive services, news gathering

and other broadband satellite applications (DVB-S2). Version 1.3.1, 2013.

[7] L. J. lppolito. Propagation cffccts handbook for satcllitc systcm dcsign. In Prcdiction, chap­

tcr 2. Stanford Tclccom ACS, 5th Edition, 1999.

[8] ITU-R. Propagatio11 data aud predictio11 methods required for the design of earth-space

tclccomrnunication systcrns. Rccornmcndation P.618-10, 2009.

[9] A. Dissanayake, J. Allnutt, and F. Haidara. A prediction rnodel that combines rain attenuation

aml other propagatiou i111pairme11ts alo11g earth-satellite paths. Antennas and Propagat-iun,

IEEE Tranfüction.s un, 45(10):1546-·1558, Oct 1997.

[10] L . .T. lppolito. Sa.1,ellil,e Communira.tions Syslems Engineering: A tm.ospheric Eff ects, Satellile

Link Design and Sysfnn Perf ormancp_. Wirelcss Comnrnnications and Mohile Compnting.

Wilcy, 2008.

95

Bibliography 96

[11] C. Capsoni, F. Fcdi, C. Magistroni, A. Paraboni, and A. Pawlina. Data and thcory for a ncw

modcl of thc horizontal structurc of rain cclls for propagation applications. Radio Scicncc,

22(3):395-404, 1987.

[12] M.J. Leitao au<l P.A. Watsou. Metho<l for prediction of atteuuatiou ou earth-space links base<l

on radar measurements of the physical structure of rainfall. Communications, Radar and Signal

Proccssing, IEE Procccdings F, 133(4):429 440, 1986.

[13] W. L. Stutzman and K. M. Yon. A simple rain attenuation model for earth-space radio links

operatiug at 10?35 ghz. Radio Science, 21(1):65-72, 1986.

[14] M. Filip and E. Vilar. Optirnmn ntilization of the cha.nnel ca.pacity of a satellite link in the

presence of a.mplitnde scintillations and rain attermation. Com.m.1m.ical,ions, IEEE Tran.rndions

on, 38(11):1958-1965, 1990.

[15] Y. Karasawa, M. Yarnada, and .J.E. Allnutt. A new prediction method for tropospheric scintil­

lation on earth-space paths. Antennas and Propagation, IEEE Transactions on, 36(11):1608-

1614, 1988.

[16] T.J. Moulsley and E. Vilar. Experimental and theoretical statistics of microwave ampli­

tude scintillations on satellite down-links. Antennas and Propagation, IEEE Transactions

on, 30(6):1099-1106, 1982.

[17] M.M.J.L. van ele Kamp, J.K. Tervunen, E.T. Salunen, and J.P.V. Poirares Baptista. lmpruved

models for long-term prediction of tropospheric scintillation on slant paths. Antennas and

Propagation, IEEE Transactions on, 47(2):249 -260, 1999.

[18] I.E. Otung. Prediction uf trupuspheric amplitude scintillatiun on a satellite link. Antennas

and Propagation, IEEE Transactions on, 44(12):1600 -1608, 1996.

[19] ITU-R. Attenuation by atmospheric ga.o;es. Recommendation P.G7G-10, 2013.

[20] ITU-R. Attcnuation duc to clouds ancl fog. Rccommcnclation P.840-5, 2012.

[21] J. Proakis and M. Salel1i. Digital Comrri-unú:at·ions. McGraw-Hill Educatiou, 2007.

[22] .T. Hayes. Adaptive feedback comm1mications. Comm11.nú:a.tion Trrhnology, IEEE Tra.nsa.cl.ions

on, IG(l):29-34, Fehnrn.ry 1968.

[23] R. Steele and W.T. Vv'ebb. Variable rate c¡am for data transmissions over rayleigh fading

channels. In Procccdings of Wirelcss '91, pages 1-14, .July 1991. Organisation: Wireless'91

Adclress: Calgary, Cana.da.

Bibliography 97

[24] A.J. Goldsmith and Soon-Ghcc Chua. Variablc-ratc variablc-powcr mqam for fading channcls.

Communications, IEEE Transactions on, 45(10):1218 1230, Oct 1997.

[25] A.J. Goldsmith aud Soou-Ghee Cima. Adaptive coded modulatiou for fading cha1mels. Corn­

rnunications, IEEE Transactions on, 46(5):595-602, May 1998.

[26] T. Maseng and P. Bakken. A stochastic dynamic model of rain attennation. Communir:ations,

IEEE Transactions on, 29(5):660-669, May 1981.

[27] F. Lacoste, M. Bousquet, L. Castanet, F. Cornet, and .J. Lemorton. lmprovement of the ouera­

cnes rain attenuation time series synthesizer and validation of the dynamic characteristics of

the generated fade events. Spar:e Com.m.s., 20(1-2):45-59, .Tannary 2005.

[28] ITU-R. Tropospheric attenuation time series synthesis. Recommendation P.1853-1, 2012.

[29] X. Doulanger, L. Feral, L. C1:l.'itanet, N. Jeannin, G. Carrie, and F. Lacm;te. A rain attenuation

time-series synthesizer b1:1.'ied on a dirac and lognormal distribution. Antennas and Propagation,

IEEE Transactions on, 61(3):1396-1406, March 2013.

[30] M.E.C. Rodrigues, G. Carrie, L. Cru:;tanet, and L.A.R. da Silva Mello. A rain attenuation time

series synthesizer bru:;ed on 2-state markov chains coupled to an event-on-demand generator. In

Antennas and Propagation (EUCAP), Proceedings of the Sth European Conference on, pages

3865-3869, April 2011.

[31] F.J.A. Andrade and L.A.R. da Silva Mello. Rain attenuation time series synthesizer based

on the gamma distribution. A ntennas and Wireless Propagation Letters, IEEE, 10: 1381-i384,

2011.

[32] J. Otis Laws and Donald A. Parsons. Thc rclation of raindrop-sizc to intcnsity. Eos, Transac­

tions American Geophysical Union, 24(2):452-460, 1943.

[33] J. S. Marshall and W. M. Palmcr. Thc Distribution of Raindrops with Sizc. Journal of

Atmosphcric Scicnccs, 5:165 166, August 1948.

[34] Y.Y. SJ1au, J.T. Oug, Y.H. Lee, ami T.T. Nguyeu. Loguonnal model for siugapore raindrop si¿e

distrilmtiou. Ju lnforrnatiun, Curnrrrnrl'icat'ions and Signal Prucessútg, 2005 Fifth Internatúmal

Cunference on, pages 1002 1005, 2005.

[35] ll . .Jiang, M. Sano, ami M. Sekine. vVeilmll raindrop-size distrihntion al}(\ its application to

rain attenuation. Microwaves, Antcnnas and Propagation, IEE Procecdings, 144(3):197-200,

Juu 1997.

Bibliography 98

[36] H. R. Pruppachcr and R. L. Pittcr. A Scmi-Empirical Dctcrmination of thc Shapc of Cloud

and Rain Drops. Joumal of Atrnosphcric Scicnccs, 28:86 94, January 1971.

[37] G. Brussaard. A rneteorological 111odel for rai11-i11duced cross polarizatim1. Antennas and

Propagation, IEEE Trnnsadions on, 24(1):5-11, Jan 1976.

[38] R. Gmm and G. D. Kinzer. The Terminal Velocity of Fall for Water Droplets in Stagnant Air.

Joumal of Atrnospheric Sciences, 6:243-248, August 1949.

[39] ITU-R. Haudbook on radiometeorology. ITU-R HDB-26, Geneva, 1996.

[40] ITU-R. Specific attenuation model for rain for m;e in prediction methods. Recommendation

P.838-3, 2005.

[41] ITU-R. Characteristics of precipitation for propagation modelling. Recommendation P.837-6,

2012.

[42] J. Lcmorton, L. Ca.stanct, F. Lacostc, C. Riva, E. Matricciani, U. Ficbig, M. Van de Kamp,

and A. Martellucci. Development and validation of time-series synthesizers of rain attenuation

for ka-band and q/v-band satellite communication systems. International Journal of Satellite

Communications and Networking, 25(6):575-601, 2007.

[43] G. Carric, L. Castanct, and F. Lacostc. Validation of rain attcnuation time series synthcsizcrs

for tcmpcratc arca - on thc cnhanccd ma.scng-bakkcn modcl. In Satellite and Space Comrnu­

nications, 2008. IWSSC 2008. IEEE International Workshop on, pages 40-44, Oct 2008.

[44] ITU-R. Probability distributions rclcvaut to radiowavc propagation modclling. Rccommcnda­

tion P.1057-3, 2013.

[45] R.D. Reiss ami M. Thomas. Stat'ist'ical Analysis of Extreme Valnes: wdh Applications to

In.rnrnnce, Finance, Hydrology and Other Pields. Birkhauser Verlag GrnbH, 2007.

[46] J. Kysely, J. Picek, an<l Beranova R. Estimating extremes in climate change simnlations

using the peaks-over-threshold method with a non-stationary threshold. Global and Planel.ary

Change, 72:55-68, 2010.

[17] S. Beg11eria ami S.M. Vicente-Serrn110. Mapping the hazard of extreme rainfall hy peaks

over threshol<l extreme valne analysis and spatial regression teclrniqnes. Journal of n.pplir,d

m.r,fr,orology and clim.nJology, 15 ( 1): 108-124, 2006.

[48] S. Begueria, M. Angulo-l\farti11ez, S.M. Vicc11te-Serra110, .J.I. Lopez-More110, ami A. EI­

Kenawy. Assessing trends in extreme precipitation events inte11sity a11d rnagnitndc nsing

Bibliogmphy 99

non-stationary peaks-over-threshold analysis: a case study in northeast spain from 1930 to

2006. Jnternational Journal of Climatology, 31(14):2102 2114, 2011.

[49] F.J. Acero, J.A. Garcia, and l\I.C. Gallego. Peaks-over-threshold study of trends in extreme

rainfall over the iberian peninsula. Journal of Climate, 24(4):1089-1105, 2011.

[50] D. Ceresetti, E. Ursu, J. Carreau, S. Auquetin, J .D. Creutin, L. Gardes, S. Girard, and

G. Molinié. Evaluation of classical spatial-analysis schemes of extreme rainfall. Natural Hazards

and Earth System Science, 12(11):3229-3240, 2012.

[51] E. Castillo, A. S. Hadi, N. Balakrishnan, and J. M. Sarabia. Extreme value a.nd relaled models

with applications in engineering and science. Wiley-lnterscience, 2005.

[52] S. Coles. An /ntroduction to Statistical Modcling of Extreme Values. Lecture Notes in Control

and lnformation Sciences. Springer, 2001.

[53] J. Pickands. Statistical inference using extreme order statistics. The A nnals of Statistics,

3(1):119-131, 01 1975.

[54] A. Davison. Modelling excesses over high thresholds, with an application. In Statistical Ex­

tremes and Applications, volume 131 of NATO AS/ Series, pages 461-482. Springer Nether­

lands, 1984.

[55] E. Castillo and A.S. Hadi. Fitting the generalized pareto distribntion to data. }011.mal of the

American Statistical Association, 92(440):1609-1620, 1997.

[56] R. Vitolo, P.M. Ruti, A. Dell'Aquila, M. Fclici, V. Lucarini, and A. Speranza. Accessing

extremes of mid-latitudinal wave activity: methodology and application. Tellus Series A:

Dynamic Meteorology and Oceanography, 61(1):35--49, 2009.

[57] M. Nogaj, P. Yiou, S. Parey, F. Malek, and P. Naveau. Amplitude and frequency oftemperature

extremes over the north atlantic region. Geophysical Research Letters, 33(10):n/a-n/a, 2006.

[58] C.A.S. Coelho, C.A.T. Ferro, D.B. Stephenson, and D.J. Steinskog. Methods for explor­

ing spatial and temporal variability of extreme events in climate data. Journal of Clima.te,

21(10):2072-2092, 2008.

[59] Stuart Coles. A n introduction to statistical modeling of extreme values. Springer-Verlag, 2001.

[60] S.M. Ross. Introduction to Probability Modcls. 2010.

[61] A.C. Davison aud R.L. Smith. l\foclcls for exceedauccs over high thresholds. Journal of the

Royal Statistical Society. B, 52(3):393-442, 1990.

Bibliogmphy 100

[62] V. Chavez-Demoulin an<l A.C. Davison. Gencralizc<l a<lditive mo<lelling of sample extremes.

Journal of the Royal Statistical Society: Series C (Applicd Statistics), 54(1):207 222, 2005.

[63] C.E. Slia1111011. A rnatliematical tlieory of co111111u11Ícatio11. Bell Systern Technical Journal,

27:379-423, 623-656, 1948.

[64] D. Grace and M. Mohorcic. Broadhand Communú:ations via High-AlUtude Platforms. Wiley,

2011.

[65] A. Goldsmith. Wireless Communications. Cambridge University Press, 2005.

[66] D. Sklar. Defining, <lesigning, and evaluating digital communication systems. Communications

Magazine, IEEE, 31(11):91-101, Nov 1993.

[67] B. Sklar. Digital Communications: Fundamentals (!j Applications. Pearson Education, 2009.

[68] M.K. Simon and M.S. Alouini. Digital Communication over Fading Channels. Wilcy Series in

Tclecommunicatiorrn and Signa! Proccssing. Wilcy, 2005.

[69] A. Glavieux. Channel Coding in Communication Networks: From Theory to Turbocodes. ISTE.

Wiley, 2013.

[70] W. Ryan and S. Li11. Channel Codes: Classú:al and Modern. Cambridge University Press,

2009.

[71] A. Hocquenghem. Codes Correctems d'Errems. Chif]res, 2:147-156, Septemher 1959.

[72] R.C. Bose and D.K. Ray-Chaudhuri. On a class of error correcting binary group codes. Injor­

mation and Control, 3(1):68 - 79, 1960.

[73] R.G. Gallager. Low-density Parity-check Codes. M.I.T. Press research monogrnphs. M.I.T.

Press, 1963.

[74] R.M. Tanner. A recursive approach to low complexity codes. ln.formation Theory, IEEE

Tmnsactions on, 27(5):533-547, Sep 1981.

[75] D. Declercq, M. Fossoricr, an<l E. Biglieri. Channel Coding: Theory, Algorithms, and Ap­

plications. Acadernic Prcss library in rnobilc and wircless cornmunications. Elscvier Scicncc,

2014.

[76] T. Etzion, A. Trachtenberg, and A. Vardy. \Vhich codes have cycle-frcc tanner graphs? Infor­

mation Theory, IEEE Tmnsactions on, 45(6):2173-2181, Sep 1999.

Bibliography 101

[77] ETSI EN 302 307-2. Digital video broadcasting (dvb); sccond gcncration framing structurc,

channcl coding and modulation systcms for broadcasting, intcractivc scrviccs, ncws gathcring

and othcr broadband satcllitc applícations. Vcrsion 1.1.1, 2014.

[78] Ieee standard for ethernet- section l. IEEE Std 802.3-2012 (Revision to IEEE Std 802.3-2008),

Dec 2012.

[79] Ieee standard for information technology-telecommunications and information exchange be­

tween systems local and metropolitan area networks-specific requirements part 11: Wireless

lan medíum access control (mac) and physical !ayer (phy) specifications. IEEE Std 802.11-2012

(Revision of IEEE Std 802.11-2007), pages 1-2793, March 2012.

[80] Ieee standard for air interface for broadband wireless access systems. IEEE Std 802.16-2012

(Revision of IEEE Std 802.16-2009), pages 1-2542, Ang 2012.

[81] G.E. Corazza. Digital Satellite Communications. lnformation Technology: Transmission, Pro­

cessing and Storage. Springer, 2007.

[82] B.R. Elbert. The Satellde Cornrnurúcat'ion Appl'ications Handbook. Artech House space tech­

nology and applícations library. Artcch Housc, 2004.

[83] Zhang Jun, Wang Zhi-Gong, Hu Qing-Shcng, and Xiao Jic. Optimízcd dcsign for hígh-spccd

parallcl bch cncodcr. In VLSI Design and Video Technology, 2005. Proceedings of 2005 IEEE

International Workshop on, pages 97-100, May 2005.

[84] A. Neubauer, J. Freudenberger, ami V. Kuhn. Codin_q Theory: Algorithrns, Architecturcs and

Applications. Wiley, 2007.

[85] T.-B. Peí and C. Zukowski. High-speed parallel ere eireuits in vlsi. Communications, IEEE

Transactions on, 40( 4):653-657, Apr 1992.

[86] .J .H. Derby. High-speed ere computation using state-space transformations. In Global Telecorn­

munications Conference, 2001. GLOBECOM '01. IEEE, volmne 1, pages 166-170 vol.l, 2001.

[87] G. Campobello, G. Patane, and M. Russo. Parallel ere realization. Computers, IEEE Trans­

acl'ions on, 52(10):1312-1319, Oct 2003.

[88] Chao Cheng and I<.K. Parhi. High-speed parallel ere implementation based on unfolding,

pipclining, and rctimíng. Circuits and Systcrns Il: Express Bricfs, IEEE Transactions un,

53(10):1017-1021, Oct 2006.

[89] T.V. Ramabadran and S.S. Gaitondc. A tutoría! on ere computations. Micro, IEEE, 8(-1):62-

75, Aug 1988.

Bibliography 102

[90] N.R. Saxcna and E.J. McCiuskcy. Analysis of chccksums, cxtcndcd-prccision chccksums, and

cyclic rcdundancy chccks. Computers, IEEE Transactions on, 39(7):969 975, Ju] 1990.

[91] K.K. Parhi. VLSI D·igital Signal Processing Systems: Design and Implementation. A Wiley­

lnterscience publicatiou. Wiley, 1999.

[92] D.J .C. MacKay. Good error-correcting codes hased on very sparse matrices. lnfonn.a.tion

Theory, IEEE Transactions on, 45(2):399-431, Mar 1999.

[93] Sae-Young Chung, .Jr. Forney, G.D., T..l. Richardson, and R. Urbanke. On the design of low­

density parity-check codes within 0.0045 db of the shannon limit. Communications Letters,

IEEE, 5(2):58-60, Feh 2001.

[94] T.J. Richardson and R.L. Urbanke. Efficient encoding of low-density parity-check codes. ln­

formation Theory, IEEE Transactions on, 47(2):638-656, Feb 2001.

[95] Y.Q. Shi, Xi Min Zhang, Zhi-Cheng Ni, and N. Ansari. Interleaving for combating bursts of

errors. Circuits and Systems Magazine, IEEE, 4(1):29-42, First 2004.

[96] Jr. Forney, G. Burst-correcting codes for the classic bursty channel. Communication Technol­

ogy, IEEE Transactions on, 19(5):772-781, October 1971.

[97] D. Vassis, G. Kormcntzas, A. Rouskas, and l. Maglogiannis. Thc iccc 802.llg standard for

high data ratc wlans. Network, IEEE, 19(3):21-26, May 2005.

[98] A. Bacioccola, C. Cicconctti, C. Eklund, L. Lcnzini, Z. Li, and E. Mingozzi. Ieee 802.16:

History, status and futurc trcnds. Comput. Commun., 33(2):113 123, Fcbruary 2010.

[99] B.K. Upa<lhyaya au<l S.K. Sauyal. Desigu of a novel fsm base<l recoufigurable multimo<le iuter­

leaver for wlau application. lu De'U'ices and Corrmmnicat-ions (ICDeCom}, 2011 lntemat-ional

Conference on, pages 1 5, Feb 2011.