25
Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS: Development of Standardized Experimental Platform, Chemical Systems, Reaction Kinetics Determination, and Characterization of Deposits Submitted to: Submitted by: Batric Pesic, Professor Materials Science and Engineering University of Idaho McClure Hall Moscow, ID 83844-3024 Tel. (208) 885-6569 Fax (208) 885-2855 e-mail: [email protected] www.webs1.uidaho.edu/nanomaterials Date: Signature: April 7, 2004 ___________________ Batric Pesic

Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

Proposal

COPPER ELECTRODEPOSITION ON BARRIER LAYERS: Development of Standardized Experimental Platform, Chemical Systems, Reaction

Kinetics Determination, and Characterization of Deposits Submitted to: Submitted by: Batric Pesic, Professor Materials Science and Engineering University of Idaho McClure Hall Moscow, ID 83844-3024 Tel. (208) 885-6569 Fax (208) 885-2855 e-mail: [email protected] www.webs1.uidaho.edu/nanomaterials Date: Signature: April 7, 2004 ___________________ Batric Pesic

Page 2: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

2

COPPER ELECTRODEPOSITION ON BARRIER LAYERS: Standardization of Experimental Platform, Development of Chemical Systems, Reaction

Kinetics Determination, and Characterization of Deposits

INTRODUCTION Because of its lower bulk electricity ~1.7 mV µΩcm and significantly better electromigration and stress migration resistance than aluminum ~2.7 mV µΩcm , copper has emerged as an alternative interconnect material to replace Al and its alloys for deep submicrometer integrated circuits. However, copper raises several important problems such as high mobility wihin, and strong reactivity with silicon, and poor adhesion to most of the dielectric layers. Many barrier materials have been investigated in a search for an appropriate diffusion barrier for copper metallization. Among those barrier materials examined, group IVB-, VB, and VIB-based nitrogen containing refractory alloys and binary compounds, particularly Ta-N, W-N, and Mo-N, have received increasing interest not only due to their relatively high melting temperatures leading to extremely low bulk diffusion coefficients for Cu diffusion, but also due to their immiscibility and resistance to react with copper to form stable compounds. Unfortunately, most binary refractory metal barriers examined until now have a polycrystalline structure, thus offering inadequate protection because they contain grain boundaries that create short-cut paths for copper diffusion. Attempts to further improve the barrier properties have generally involved incorporating silicon or oxygen to transition-metal nitrides, forming highly stable amorphous-like ternary compounds, such as Ti-S-N, W-Si-N, Ta- Si-N, and Ta-N-O. However, the incorporation of the ternary elements to further strengthen the barriers is normally associated with sacrificing their electrical conduction, thus increasing the resistivity to values typically greater than 800 µΩcm. Nevertheless, thin films of tantalum-based materials (Ta-N), including Ta, Ta(N), Ta2N, and TaN, are currently the pre-eminent diffusion barriers for Cu metallization in manufacturing integrated circuits. Thus, the feasibility of growing the Ta-N thin films has been investigated extensively, particularly in terms of controlling the phase and microstructure of the Ta-N films to tightly control their barrier property. Regarding copper deposition on barrier films, copper can be deposited by a number of techniques, including chemical vapor deposition, physical vapor deposition, electroless deposition, and electrochemical deposition. Of these techniques, electrochemical deposition is the leading candidate due to its inherent advantages in filling high aspect ratio structures with complex geometries combined with high deposition rates. Due to the difficulties in depositing directly onto most diffusion barriers, a copper seed layer is usually vapor-deposited prior to electrochemical deposition. The initial objective of this proposal is to provide a detailed review on the current status of copper diffusion barriers and the electrochemistry of copper deposition. Based on the review, the next stage objective is to prepare the proposal for research on copper electrodeposition on the most promising and acceptable diffusion barrier films. It was discovered that the most acceptable diffusion barrier is based on Ta-N composition, with or without Si as a ternary additive. The literature information remains controversial regarding the crystal structure of the barriers, especially with respect to the benefits of amorphous phase. Regarding the

Page 3: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

3

electrochemistry, the review revealed a rather heterogeneous approach to this subject. The IBM electrochemists have contributed the most toward the fundamental understanding of involving chemistry during copper deposition of interconnects. Further, it was discovered that almost all research revolves around the same bath composition (CuSO4, with some Cl- ions, in the presence of bis(sodiumsulfopropyl)disulfide (SPS), and polyethylene glycol (PEG)). There was no effort to standardize the experimental procedures, thus it is difficult to compare the effects of solution composition from one research report to another. In general, it is safe to conclude that when plating bath composition is considered, i.e. the use of additives, the industry is still more or less in “the art” mode despite more than 50 years of experience. A lot more fundamental research is needed to fully understand the mechanistic role of additives. An important objective of this proposal is to introduce a standardized method of research. The standardization is based on the use of controlled hydrodynamics and surface geometry. These conditions are met by using disks rotating at set speeds. The key advantage from such systems, termed as the rotating disk electrodes (RDE), is the rigorous mathematical solution of mass transfer (Levich equation described later). The RDE experimental platform will be of significant importance to Micron for future development of electrochemical deposition methods because of the possibility to contest the methods against each other. The proposed research also introduces to Micron the use of scanning electrochemical microscopy (SECM) toward fundamental studies of electrochemical deposition. This technique will ultimately prove as invaluable method for obtaining critical data for successful deposition of copper and other metals.

LITERATURE REVIEW

Properties of Tantalum Based Barriers Tantalum is very hard, malleable, ductile metal characterized with very high melting temperature (2996 oC). It is inert to acids (except to HF) and alkalies. Reacts with fluorine, chlorine and oxygen only upon heating. Its electrical resistivity is 12.4 µΩcm. Further, at high temperatures, it can absorb hydrogen several hundred times its volume, and combines with N and C. The equilibrium phase of Ta is b.c.c. α-Ta, while tetragonal β-Ta is metastable1. TaN is also chemically inert (mp 3090 oC), and can be represented by numerous phases: h.c.p. β-Ta2N, f.c.c. θ-TaN and η-TaN, δ-TaN1-x, Ta5N6, Ta4N6, Ta4N5, and Ta3N5. Stavrev at al.2 studied crystallographic and morphological properties of magnetron sputtered Ta, Ta-N and Ta-N-O thin films. It was found that for N content below critical value a b.c.c.-Ta(N) phase formed with 20% at.% interstitially incorporated nitrogen and mean crystallite size about 3nm. Above the threshold value, f.c.c.-TaN formed with high resistivity and increased grain size. The addition of oxygen to the stream of nitrogen resulted in mostly amorphous films. It

1 T.B. Massalski, Binary Phase Diagrams, vol. 3, Materials Information Society, Materials Park, OH, 1990, 2703-2704. 2 M. Stavrev, D. Fischer, C. Wenzel, K. Drescher, N. Mattern, Chrystallographic and morphological characterization of reactively sputtered Ta, Ta-N and Ta-N-O thin films, The Solid Films, 307, 1997, 79-88.

Page 4: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

4

was believed that the amorphous nature of as deposited Ta(N,O) films represented a better choice for a barrier to copper diffusion. J.-L. Cheng and C. Lee3 measured the diffusion coefficients of copper through TaNx(x=0.62-1.23) film as a function of temperature (500-800 oC range). Their experimental approach enabled the separate measurements for copper diffusion through the grain boundary and through the TaN lattice. It was found that the copper diffusivity between the grains (~10-16-10-14 cm2/sec) was 2-3 orders of magnitude larger than the diffusivity though the lattice (~ 10-17 cm2/sec). Both diffusivities decreased with increase of nitrogen content, i.e. the lowest was for TaN1.23. However the activation energy for diffusion though the lattice decreased with N/Ta ratio increase, which was opposite to the activation energy for diffusion though the Ta-N grain boundary. Obviously, grain boundaries are the most important diffusion paths for polycrystalline films. Structural, morphological and electrical properties of TaN films prepared by magnetron sputtering investigated by Nie et al.4 had a strong dependence on the N2 partial pressure in the deposition process of rf sputtering. TaN film deposited on (100) Si showed increased electrical resistivity with increase of partial pressure of N2, Fig. 1. The film electrical resistivity as a function of temperature depended also on the partial pressure of nitrogen in the gas plasma, Fig. 2.

Fig. 1 The values of the room-temperature resistivity on glass substrates under various N2 partial pressures when the N2 partial pressure is 25%–30%.4

Fig. 2 Temperature dependence of resistivity of the various TaN films grown as a function of N2 partial pressure. Note respective semiconductor and metallic behavior for films deposited with pN2 higher and lower than 7.5%.

Structural change was from metallic bcc Ta to a mixture of bcc Ta(N) and hexagonal Ta2N when a small amount of N2 (2.5% partial pressure or less) was induced in the deposition process, and sequentially to fcc TaN when the N2 partial pressure was close to 7.5% or higher. These changes are associated with the changes of crystalline orientation (with respect to the normal of the substrate surface) in the films, from the [100]-preferred orientation metallic bcc Ta to [110] in the mixture of bcc Ta(N) and hexagonal Ta2N, then to a random orientation of fcc TaN and

3 J.-C. Lin and C. Lee, Grain boundary diffusion of copper in tantalum nitride thin films, J. Electrochem. Soc., 146, 1999, 3466-3471. 4 H.B. Nie, S.Y. Xu, S.J. Wang, L.P. You, Z. Yang, C.K. Ong, J. Li, T.Y.F. Liew, Structural and electrical properties of tantalum nitride thin films fabricated by using reactive radio-frequency magnetron sputtering, Appl. Phys. A, 73, 2001, pp. 229-236.

Page 5: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

5

finally to the [111]-preferred orientation of a mixture of fcc TaN and N-rich TaN film, respectively. Hardness of the films was higher than 15 GPa and peaked at 27.8 GPa in the film grown at a N2 partial pressure of 10.0%. The surface roughness was not dependant on partial pressure of N2. TaN barriers normally exhibit the highest chemical inertness and thermal stability among Ta-N barriers5,6. Ta2N thin film can be deposited to have either an amorphous or crystalline (heaxagonal) microstructure7,8. According to Chen and Huang9 amorphous Ta2N thin films are highly effective barriers because they lack rapid diffusion paths such as grain boundaries. However, their study also showed that the amorphous a-Ta2N rapidly deteriorates by crystallization/grain growth allowing copper to follow grain diffusion mechanism. They concluded that because the TaN preserved its nanocrystalline structures that TaN barriers outperformed the a-Ta2N. The property-optimized barrier was alternatively layered Ta2N/TaN films, Fig. 3.

Fig. 3 Relative changes of sheet resistance (ΔR/R0) as a function of annealing temperature for (a) Si/Ta2N/Cu, (b) Si/Ta2N-TaN (λ= 40 nm)/Cu, (c) Si/TaN/Cu, and (d) Si/Ta2N-TaN (λ=10nm)/Cu metallization structures.

The electromigration of copper is dependant not only on the properties of TaN but also on the microcrystalline structure of Cu films. It was found10,11 that Cu(111) is preferred orientation to minimize the electromigration of copper. However, copper vapor-deposited Cu films produces mostly Cu(200). Because of the previous lead12,13 that plasma pretreatment of TaN films prior to CVD copper deposition results in preferred Cu(111), Lin et al. 14performed a detailed study on the effect of plasma pretreatment of TaN substrate on the properties of deposited copper films. It was found that Cu films deposited on Ar plasma-treated TaN substrate were regularly ordered, (111) preferentially oriented, and smoother. The last property was also responsible for fine grains, i.e. higher grain boundary density, thus leading to

higher electrical resistivity. The electrical resistivity was reduced in the secondary step,

5 K.H. Min, K.C. Chun and K.B. Kim, J. Vac. Sci. Technol. B. 14, 1996, pp. 3263. 6 M.T. Wang, Y.C. Lin, M.C. Chen, J. Electrochem. Soc., vol. 146, 1998, pp. 2538. 7 X. Sun, E. Kolawa, J.S. Chen, J.S. Reid and M.-A. Nicolet, Thin Solid Films, vol. 236, 1993, p. 347. 8 K. Holloway, P.M. Frayer, C. Cabral, Jr., J.M.E. Harper, P.J. Bailey, and K.H. Kelleher, J. Appl. Phys., 71, 1992, p. 5433. 9 G.S. Chen and S.C. Huang, Intrinsic properties and barrier behaviours of thin films of sputter-depsotied single-layered and alternately layered tantalum nitrides (Ta2N/TaN), J. Electrochem. Soc., vol. 148 (8), 2001, G424-G429. 10 R. Kroger, M. Eizenberg, D. Cong, N. Yoshida, L.Y. Chen, S. Ramasvami, and D. Carl, J. Electrochem. Soc. 146, 1999, p. 3248. 11 R. Kroger, M. Eizenberg, D. Cong, N. Yoshida, L.Y. Chen, S. Ramasvami, and D. Carl, Microelecton. Eng., 50, 2000, p. 375. 12 Y.S. Kim, D. Jung, and S.K. Min, Thin Solid Films, 349, 1999, pp. 36. 13 K. Kamoshida and Y. Ito, J. Vac. Sci. Technol. B., 15, 1997, p. 961. 14 C.-L. Lin, P.-S. Chen, and M.-C. Chen, Effects of TaN substrate pretreatment by Ar plasma on copper chemical vapor deposition, J. Electrochem. Soc. Vol 149 (5), 2002, C237-C243.

Page 6: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

6

postdeposition thermal annealing. The second step further increased the Cu(111) ratio, and film smoothness. Although TaN is more effective barrier than Ta the electrical resistivity15,16 of the former is unfortunately higher. As the technology moves toward 45 nm node and below the thickness of barrier film has to adequately decrease in order to lower the resistance. For that reason Wu et al.17 studied the barrier properties and thermal stability of ultrathin Ta-based barrier layers (10nm). They used nitrogen plasma to produce a thin amorphous layer of TaNx on top of sputtered Ta barrier. It was found that electrical resistivity of TaNx/Ta was as low as that of as-sputtered Ta film. Barrier properties of TaNx/Ta were better than those of sputtered Ta and TaN.

The Cu/TaNx/Ta (10nm)/Si remained stable after annealing at 700 oC for 1h, Fig. 4 . It was believed that the formed amorphous layer contained nanocrystalline Ta2N phases, which were impeding Cu diffusion.

Fig. 4 Variation in sheet resistance of Cu/Ta(N)/Si as a function of annealing temperature. Tsukimoto, Moriyama and Murakami18 studied the barrier properties and the mechanisms of growth of amorphous TaN films. Based on high-resolution cross-sectional TEM studies, Fig. 5, it was found that the amorphous film had columnar structure with grain boundaries similar to those of the polycrystalline TaN film. Because of the observed grain boundaries with low mass density, it was suggested that the amorphous TaN films do not necessarily provide superior diffusion barrier properties compared to polycrystalline films.

Fig. 5 Cross-sectional high-resolution TEM image of the amorphous TaN film deposited on SiySiO substrate.

15 W.L. Yang, W.F. Wu, D.G. Lin, C.C. Wu, and K.L.Ou, Solid-State Electron., 45, 2001, p. 149. 16 M.T. Wang, Y.C. Lin, and M.C. Chen, J. Electrochem. Soc., vol. 145, 1998, p. 2538. 17 W.-F. Wu, K.-L. Ou, C.-P. Chou, and C.-C. Wu, Effects of nitrogen plasma treatment on tantalum diffusion barriers in copper metallization, J. Electrochem. Soc., 150(2), 2003, G83-G89. 18 S. Tsukimoto, M. Moriyama, M. Murakami, Microstructure of amorphous tantalum nitride thin films, Thin Solid Films, 460, 2004, p. 222-226.

Page 7: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

7

Chang, Chen and Hsu19 studied sheet resistance, phases, elemental depth profiles and surface morphology of amorphous (TaNx)-crystalline (TaN) paired barrier films on SiO2 dialectric: Cu/Ta-N(TaNx, or TaN)/Ta/SiO2/Si. They concluded, on the other hand, that the amorphous nature of TaNx makes this film a more efficient barrier for copper diffusion, if annealed at temperatures lower than 900 oC. Copper Film Properties In the integrated circuits, copper conductive lines must satisfy certain criteria such as low electrical resistivity, low electromigration and high adhesion. The recent research results point out that the orientation of copper grains is the critical parameter that defines the above properties. Thus, it was shown that the electrical resistivity of copper lines is mainly determined by the grain growth of (111) and by the stress at the interface with the barrier layer rather than by the impurity in copper, as popularly believed. Orientation and Texture. Hara, Sakata and Yoshida20 attempted to control the orientation of Cu(111) during electroplating, but concluded the crystal orientation of copper cannot be modulated by changing the electroplating conditions. Instead, the orientation of electroplated copper can be controlled by the orientation of the seed layer. Their study showed that neither the sputtering conditions nor the subsequent annealing could change the film orientation. It was the substrate and the copper film thickness that were the most effective. With respect to substrate, it was found that Cu(111) orientation was a function of stress at the interface between the barrier substrate and copper seed layer21, ,22 23. Stress was quantified22,23 by measuring a shift of 2θ in x-ray diffraction spectra. According to Fig. 6 , amorphous tantalum barrier had the highest, while Ta-N barrier containing Si had the lowest interfacial stress. The orientation of Cu(111) was a inverse function of interfacial stress, Cu(111)/Cu(200) increased in the following order a-TaN, c-TaN, Ta, TaSiN. Annealing of highly stressed seed copper film leads to stress release and copper agglomeration, as was confirmed by x-ray diffraction according to which the contribution of Cu(111) increased with time during annealing at 400 oC. Thus, annealing, i.e. agglomeration is the key factor affecting the (111) orientation of copper electroplating layer. The agglomeration was most extensive when the seed layer was stressed the most. Thus, the surface roughness was the highest on a-TaN, and the lowest on TaSiN barriers. 19 C.-C. Chang, J.S. Chen, and W.-S. Hsu, Failure mechanisms of amorphous and crystalline Ta-N films in the Cu/Ta-N/Ta/SiO2 structure, J. Electrochem. Soc. Vol. 151(11) G746-G750 (2004). 20 T. Hara, K. Sakata, and Y. Yoshida, Control of the (111) orientation in copper interconnection layer, Electrochemical and Solid-State Letters, Vol. 5 (3), C41-C43 (2002). 21 S. Balakumar, R. Kumar, Y. Shimura, K. Namiki, M. Fujimoto, H. Toida, M. Uchida, and T. Hara, Effect of stress on the properties of copper lines in Cu interconnects, Electrochem. Solid-State Letters, 7(4) G68-G71 (2004). 22 T. Hara and K. Sakata, Stress in copper seed layer employing in the copper interconnection, Electrochem. Solid-State Lett., 4, G77 (2001). 23 T. Hara, K. Sakata, A. Kawaguchi, and S. Kamijima, Control of agglomeration on copper seed layer employed in the copper interconnection, Electrochem. Solid-State Lett., 4, C81 (2001).

Page 8: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

8

Fig. 6 Spectrum shift, .2., of thin (10 nm thick) copper seed layer deposited on different barrier layers.

Muppidi and Field24 electroplated copper on various Ta barriers (Ta; TaN; Ta/TaN; Ta/TaN/Ta) seeded by 10nm Cu layer. They found that electroplated copper, after annealing at 400 oC, was (111) textured on all barrier films. The grain size of copper was largest on Ta/TaN barrier, about 1.34µ. No other properties, for example adhesion, of copper films were examined. Adhesion. As a follow-up of the finding22,23 that the adhesion strength and layer properties of electroplated copper are affected greatly with the stress i.e. degree of agglomeration of the copper seed layer, Hara and Toida25 studied the properties and adhesion strength of electroplated copper layers deposited on two types of copper seed layers: (A) Thin (10nm) and (B) thick (100nm) copper seed layers, deposited by sputtering on TaN/Ta barrier layers. When thin (10nm) copper seed layer was annealed at 400 oC, a complete and stress free agglomeration of thin copper seed layer occurred. When copper was electroplated on this agglomerated seed layer (i.e. stress free) a highly oriented Cu(111) was produced whose adhesion strength was so high (40gf) that no peeling occurred during chemical mechanical planarization. When thick (100nm) copper seed layer was annealed, the agglomeration of copper occurred only at the Cu/Ta-TaN interface leaving the top of the layer smooth. Electroplating of copper on this layer resulted in weakly (111) orientated copper whose adhesion strength was low (10gf) causing peeling of copper during CMP. Without the stress release, the order of adhesion increase is from the highest to the lowest stressed interface: a-TaN, c-TaN, Ta, TaSiN. This is the same order of Cu(111) increase. The adhesion strength and critical pressure for delamination of copper/ barrier and barrier/dialectic interlayers was quantitatively measured by Hara et al.26 It was found that the barrier film adhesion strength and the critical pressure were not a function of a kind of barrier film, but were a function of dielectric constant of the interlayer. The critical pressure and adhesion strength of TaN barrier (at the TaN/interlayer) decreased with decrease of dielectric constant, from 360 g/cm2 for ε=3.3 to 200 g/cm2 for interlayer with dielectric constant ε=2.7, Fig.

24 T. Muppidi and D.P. Field, Effect of barrier layers on the texture and microstructure of copper films, Mat. Res. Soc. Symp. Proc., vol. 766, E.2.2.1, (2003). 25 T. Hara and H. Toida, Properties of copper layers deposited by electroplating on an agglomerated copper seed layer, Electrochem. Solid-State Lett., 5(10) C102-C105, (2002). 26 T. Hara, M. Uchida, M. Fujimoto, T. K. Doy, S. Balakumar, and N. Babu, Measurement of adhesion strength in copper interconnection layers, Elecrochem. Solid-State Lett., 7(2) G28-G30 (2004).

Page 9: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

9

7. The critical pressure for barrier film delamination decreased further with annealing, to 120 g/cm2 after annealing at 400 oC. On the other hand, the critical pressure and adhesion strength of copper film (at the Cu/barrier) were not a function of dielectric constant, but were a function of stress at the interface, Fig. 8.

Fig. 7 Variation in the critical pressure for the delamination of barrier layers with the dielectric constant in the CVD SiOC interlayer .

Fig. 8 Cu film adhesion as a function of stress (varied by varying barrier film) and dielectric constant ε of barrier substrate.

The lowest adhesion strength and critical pressure were on highly stressed TaN barrier. Thus, the critical pressure of delamination of as electroplated copper film (at the Cu/TaN) was only 200 g/cm2, which decreased to about 120 g/cm2 after annealing to 400 oC. Formation of voids in the film was explained as the reason for decreased strength of adhesion upon stress release by annealing. Adhesion strength of copper film on Ta1-xNx barrier layers as a function of nitrogen concentration (x=0, 0.2, 0.3 and 0.5) was studied by Sekiguchi et al.27 Accordingly, the overall adhesion strength of copper film increased with increase of nitrogen concentration in the following order: amorphous phase‹β-Ta‹bcc-TaN0.1‹fcc-TaN, hexagonal-TaN. Resistivity. As the technology advances towards 45nm node it becomes clear that reliable Cu interconnects at a width of approximately 50nm will be required. For Cu line widths below ~ 100nm the electrical resistivity becomes an issue and the factor that affects this property is scattering of conduction electrons at the grain boundaries and at the surface roughness. The ideal conductor would be a single crystal (no grain boundaries) with atomically smooth surface. For the reason of minimizing the resistance due to roughness at the Cu/barrier interface van der Straten28 et al. studied effect of a solid surfactant (Sn) on copper wetting of TaN. It was found that Sn solid surfactant lowered the wetting angle of copper to less than 70o, producing copper crystallites of planar, rather than hemispherical, shape.

27 A. Sekiguchi, J. Koike, K. Ueoka, J. Ye, H. Okamura, N. Otsuka, S. Ogawa, and K. Maruyama, Mat. Res. Soc. Symp. Proc. Vol 766, E.3.5.1, (2001). 28 O. van der Straten, Y. Zhu, K. Dunn, and A. Kaloyeros, Enhancement of copper wetting via surfactant-based post-treatment of ultra thin atomic layer deposited tantalum nitride liners, Mat. Res. Soc. Symp. Proc., vol. 766, E10.3.1 (2003).

Page 10: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

10

Fig. 9 Influence of the median grain size G50 and the reflection coefficient Rgb on the electrical resistivity.

Steinlesberger et al.29 studied the impact of annealing on the resistivity of ultrafine Cu Damascene interconnects. The objective was to increase the grain size by annealing in order to decrease electrical resistivity, Fig. 9. Unfortunately, it was determined that the median grain size of less than 100nm copper lines is fixed by the geometric dimension of the line and cannot be modulated by annealing conditions. This could pose significant technical problem of the future metallization systems. Balakumar et al. studied the effect of stress and grain growth in the seed and electroplated copper on the resistivity of copper interconnects. Interfacial stress was controlled by selecting different barrier layers (TaN, Ta/TaN and TaSiN). The grain size was measured from the full width at half maximum (fwhm) for the Cu(111) spectrum in the x-ray diffraction. It was found that the grain size of copper seed layer increased with decrease of interfacial stress. Furthermore, the stress of electroplated copper was directly correlated to the stress of the seed layer, i.e. that the low stress copper electroplated layer can only be deposited on low stress seed layer. When electroplating was performed on seed layers deposited on different barriers, in order to control the stress, it was found that the grain size was increasing with the decrease of the interfacial stress (the smallest grains on TaN, the largest on TaSiN barrier). Electroplating of copper from

CuSiF6 electrolyte produced higher copper grains than from CuSO4 electrolyte. Fig. 10 Variation of resistivity in as-deposited Cu interconnection layer with the grain sizes, where the Cu layer was electroplated on different seed layers shown in Fig. 1. Electroplating was performed from copper sulfate and copper hexafluorosilicate electrolytic solutions.

Because progressively large grains are grown on Ta, Ta/TaN and TaSiN, from both copper electrolytes, the electrical resistance of copper layer decreased progressively. The lowest copper

29 G. Steinlesberger, M. Engelhardt, G. Schindler, W. Steinhogl, M. Traving, W. Honlein, E. Bertagnoli, Impact of annealing on the resistivity of ultrafine Cu Damascene interconnects, Mat. Res. Soc. Symp. Proc., vol. 766, E4.2.1 (2003).

Page 11: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

11

interconnect resistivity of 2.1 Ω-cm is achieved by deposition of copper from copperfluorosilicate solutions on TaSiN barrier, Fig. 10. It should be also pointed out that for the stressed copper films, the grain growth can be achieved by annealing, but annealing is of less importance for less stressed films (grains are already grown). In summary, copper interconnection layer with lower resistivity, i.e. of larger grain size, can be deposited on low stress and highly (111)-oriented Cu seed layers. The stress release with time, with consequent grain growth and a decrease of resistivity, is called self-annealing. The relationship between stress, grain size and resistivity of copper film electroplated on TaN and TaSiN barriers was studied by Hara, Toida and Shimura30. It was found that the grain size of electroplated copper increased, i.e. resistivity decreased, with time on TaN barrier, which is known for its high interfacial stress with copper. The effect of time was less pronounced with copper electroplated on lower stressed TaSiN barrier, or annealed (stress free) TaN barrier. Thus, the resistivity of high stress copper interconnection films can be decreased either by self- or thermal annealing. Another parameter that should be considered when developing copper interconnects on barrier films is the damage morphologies of sputtered and electroplated films. Stress is mostly caused by the difference of thermal expansion of different film materials. Thus, Park et al.31 have found that copper film electroplated on TaN barrier exhibited, after annealing at 435 oC, two types of surface damage: stress-induced grooves and triple-junction voids. The type of film damage is a function of grain size, film thickness and energy of grain boundaries. Finally, the above literature review with respect to properties of tantalum based barriers points out that the most promising barrier is TaN doped with Si. Increase of silicon composition decreases the stress, agglomeration height and resistivity of electroplated copper, and increases Cu(111) orientation and adhesion strength32,33. With respect to copper diffusivity and resistivity the optimum composition of silicon is 0.06 at%. The highest adhesion strength, i.e. the lowest agglomeration height, corresponds to 0.16 at% composition of silicon. Copper Deposition Methods Copper deposition on barrier film methods can be divided into two categories, (1) direct electroplating, and (2) electroless plating, Fig. 11. Electroless plating can be achieved by reduction of copper ions by a reductant present in solution utilizing either the barrier surface or the seed layer on the barrier surface as the reduction site. Or, by displacement reactions, where the sacrificial seed layer deposited on a barrier, or a barrier film itself, serve as the reductants, i.e. the seed layer or the barrier film is displaced by reduced metallic copper. 30 T. Hara, H. Toida, and Y. Shimura, The self-annealing phenomenon in copper interconnection, Electrochem. Solid-State Letters, 6(7) G98-G100 (2003). 31 H. Park, S-J. Hwang, K.H. Oh, and Y.-C. Joo, Grain boundary characteristics and stress-induced damage morphologies in sputtered and electroplated copper films, Mat. Res. Soc. Symp. Proc., vol. 766, E2.3.1, (2003). 32 T. Hara and K. Namiki, Effect of TaSiN barrier layer composition on resistivity of electroplated copper interconnection layer, Electrochem. Solid-State Letters, 7(5), C57-C60 (2004). 33 T. Hara, Y. Yoshida, and H. Toida, Improved barrier and adhesion properties in sputtered TaSiN layer for copper interconnects, Electrochem. Solid-State Letters, 5(5), G36-G39, (2002).

Page 12: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

12

Fig. 11 Schematic representation of copper deposition by direct and electroless plating principles.

Direct Copper Electrodeposition Only a few published papers were found on direct electroplating of copper on barrier films, Searson group34, , 35 36 and Magagnin et al. 37 The effect of solution chemistry on direct electrodeposition of copper on TaN films was studied by Radisic et al. The prepared TaN films were 50nm thick, had the sheet resistance 250 µΩ cm, and Ta1.73N composition. The copper was electroplated from sulfate, fluoroborate, ethylenediaminetetraacetate (EDTA), and citrate solutions. The objective of the study was to evaluate the effect of solution chemistry on electrodeposition potential, which in turn affects the nuclei population density. It was found that the strong chelating characteristic of EDTA required the most cathodic electrodeposition potential, which consequently was responsible for highest nuclei population density. The nuclei population density is important because it determines the critical film thickness at the moment of nuclei coalescence. Thus, for a film thickness of d=10 nm, if a continuous film is produced by clustering of hemispherical copper nuclei, the approximate copper population density required is [from N= (0.5/d)2] on the order of 1011 nuclei per cm2. Fig. 12 shows that the solution chemistry and deposition potential are important parameters for controlling the nuclei population density.

34 A. Radisic, Y. Cao, P. Taephaisitphongse, A.C. West, and P.C. Searson, Direct copper electrodeposition on TaN barrier layers, J. Electrochem. Soc., 150, (5), C362-C367 (2003). 35 G. Oskam, P.M. Vereecken, and P.C. Searson, Electrochemical deposition of copper on n-Si/TiN, J. Electrochem. Soc., 146, (4), 1436—1441 (1999). 36 A. Radisic, J.G. Long, P.M. Hoffmann, and P.C. Searson, Nucleation and growth of copper on TiN from pyrophosphate solution, J. Electrochem. Soc., vol. 148 (1) C41-C46 (2001). 37 Magagnin, L. Vicenzo, A., Bain, M., H.W. Toh, H.S. Gamble, and P.L. Cavalloti, Nucleation and growth of ECD Cu on PVD TiN from low acid sulfate electrolyte, Microelectronic Engineering, Vol. 76 (2004), pp. 131-136.

Page 13: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

13

Fig. 12 Potential dependence of copper cluster density for solutions containing: (X) 0.05 M CuCO3.Cu-(OH)2, 0.32 M H3BO3, 0.36 M HBF4 (pH 1); (O) 0.05 M CuSO4.5H2O, 0.5 M HOCCOOH(CH2COOH)2, 0.93 M Na2SO4 (pH 3.1); and (Δ) 0.05 M CuSO4. 5H2O, 0.1 M EDTA (pH 13.5) .

Continuous copper films were deposited from EDTA solutions in a two potential step deposition process. First, the potential is pulsed to a value very close to hydrogen reduction in order to activate the highest number of nucleation sites. In the second step, the potential is set to a more positive value to grow the nuclei of copper nuclei into a continuous film. Among the other parameters studied were copper film adhesion and the effect of sheet resistance of the TaN substate. It was found that copper film passed the qualitative adhesion test. The potential distribution on TaN was uneven influencing the nuclei population density. The highest copper nuclei population density was in the areas closer to electrical contacts. Oskam et al. studied direct copper electrochemical deposition on TiN barrier film from electrolyte with the composition 50mM Cu2+ + 0.32M H3BO3 and 0.18M HBF4 at pH 1.4. In a two potential step approach, high population density copper nuclei were deposited in a brief (pulse duration is a parameter) potential pulse in the range -0.5 to -1.0V, followed by growth of developed nuclei under more positive potentials -.0.05 to 0.2V. Good quality copper films were produced. Electroless Copper Deposition- Soluble Reductant Electroless deposition38 is a low-cost process of autocatalytic nucleation and growth at low temperatures with no need for electric power. It provides good gap-filling capability on finely patterned features and has been intensively used to produce seed layers for copper electroplating. Compared to the other practiced methods for copper seed layer deposition, plasma vapor deposition (PVD) provides better composition control but poor step coverage in deep submicrometer features, creating problems like overhangs or voids. CVD has better step coverage but requires expensive equipment and high temperatures for processing combustible and expensive precursors. Atomic layer deposition (ALD) can achieve very good step coverage but this method is still in development and in addition very expensive.

38 G.O. Mallory and J.B. Hajdu, Edts., Electroless plating-Fundamentals and Applications, American Electroplaters and Surface Finishers Society, LCCN 90-081578.

Page 14: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

14

Kim et al.39 studied superconformal electrodeposition of copper on TiN/Ti diffusion barrier covered by a top Cu seed layer prepared by four deposition methods, PVD, electrolessly deposited Cu using paraformaldehyde as a reductant, electrolessly deposited Cu using Co2+ as a reducing agent, and by electrodeposition on Pd activated surface on CVD TiN and MOCVD Ru. Filling was done by electrodeposition of copper from acidic copper sulfate electrolytes containing SPS [bis(3-sulfopropyl)disulfide], polyethylene glycol and NaCl. PVD and two electrolessly prepared copper seed layers produced superconformal deposits. TiN barrier and Ru required Pd activation prior to Cu seeding by electrodeposition prior to filling by electrodeposition in the presence of additives (SPS, PEG and Cl). These two depositions also showed superfilling morphology with no internal defects. While in the above work the filling of the features was achieved by electrodeposition, which required a copper seed layer, the work by Shingubara40 demonstrated that filling can be accomplished solely by electroless deposition. They studied the effect of additives (SPS-sulfo propyl sulfonate, benzotriazole, and thiourea) on the electroless deposition rate of copper in holes. A few nanometers thick film of Pd, deposited by ionized cluster beam, served as a catalyst and an adhesive layer. It was found that the bottom-up filling ratio, Tb/Ta in Fig. 13, was proportional to the concentration of SPS inhibitor up to certain concentration (1.5mg/L) beyond which the effect becomes negative. The bottom-up filling ratio increased with decrease of hole diameter, Fig. 14. Other additives, thiourea and benzotriazole, did not have bottom-up filling properties.

Fig. 13 Effect of SPS concentration on bottom-up filling ratio of during electroless plating of Cu. Hole diameter and depth were 0.4 and 2.3 mm, respectively. PEG 0.5 g/L (molecular weight 4000), pH 12.5; T= 70°C.

Fig. 14 Effect of diameter on bottom-up filling ratio. SPS= 0.5mg/L, PEG molecular weight 4000.

39 S.-K. Kim, S.K. Cho, J.J. Kim, and Y-S. Lee, Superconformal Cu electrodeposition on various substrates, Electrochem. and Solid-State Letters 8 (1), C19-C21 (2005). 40 S. Shingubara, Z. Wang, O. Yaegashi, R. Obata, H. Sakaue, and T. Takahagi, Bottom-up fill of copper in deep submicrometer holes by electroless plating, Electrochem. and Solid-State Letters, 7(6) C78-C80 (2004).

Page 15: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

15

The effect of surface conditioning on TaN diffusion layer properties, Pd activation and electroless copper deposition was studied by Hong et al.41 TaN layer surface conditioning was performed by plasma treatment by varying NH3 flow rate. It was found that the 4-5 nm of top film did incorporate nitrogen during plasma treatment. The XRD could not detect any new TaNx phase, and the resistivity stayed almost constant. Surface conditioning by plasma treatment of TaN barrier film increased Pd nuclei population density during Pd activation stage, which in turn significantly improved the electroless deposition of copper. Witt and Pfeifer42 showed that if the walls of deep vias are not fully seeded by PVD copper deposition the subsequent filling by electrochemical deposition leaves voids. This can be particularly problematic for seeding sub 100nm sized features, as the PVD seed layer would have to be comparably thinner. To repair discontinuous seeding by PVD, they introduced additional step, the electroless seeding. It was found that void free filling can be achieved by electrochemical deposition if the PVD and electroless seed thicknesses are balanced. Hydrogen blistering was a major problem. Hydrogen was incorporated into barrier film during electrochemical deposition. However, hydrogen blistering was a property of barrier material. Hydrogen bubbles readily formed on Ta, but not on TaN and TaSiN barrier materials. Due to the importance of Pd activation on electroless copper seeding Hong et al.43 studied the conditions for Pd nucleation on TiN barrier film. It was found that Pd grows by a three-dimensional island growth mechanism. TEM study showed that Pd nucleates mainly on the TiN grain boundaries especially at the grain boundary triple points. This finding suggests that properties of Pd seed layer can be controlled by the grain refinement of the barrier substrate. Hong and Park44 performed a similar study with TaNx barrier films. They too found that favorable sites for Pd nucleation are the grain boundary and grain boundary triple points. The size of Pd nuclei was about 5nm. The grain size TaNx barrier film can be modulated by the N2/Ar gas flow ratio during magnetron sputtering, as reported separately45. As the flow ratio of N2 increased the phase transformation went from β-Ta to (111) TaN. The resistivity of TaNx film also drastically increased with the increase of N2 ratio, Fig. 15. Although the authors45

initially claimed that highly resistive film cannot be used for diffusion barriers, the same authors showed, in a separate work, not only that electroless deposition of copper was possible on TaN barrier of very high resistivity (7,000 µΩ cm) but also the film had excellent characteristics.

41 S.W. Hong, Y.S. Lee and J.-W. Park, The effect of NH3 plasma treatment on the electroless copper deposition on TaNx (x=0=1) diffusion barriers, Electrochem. Solid-State Letters, 6 (1) C12-C15 (2003). 42 C. Witt and K. Pfeifer, Properties and barrier material interactions of electroless copper used for seed enhancement, Mat. Res. Soc. Symp. Proc. Vol 766, E1.4.1 (2003). 43 S.W. Hong, Y.S. Lee, K.-C. Park, J.-W. Park, Nucleation and growth of electroless palladium deposition on polycrystalline TiN barrier films for electroless copper deposition, J. Electrochem. Soc. Vol 150 (1), C16-C18 (2003). 44 S.W. Hong and J.-W. Park, Effect of nitrogen content in TaNx (x=0-1) barrier substrates on electroless copper deposition, Electrochem. and Solid-State Letters, 5(12) C107-C109 (2002). 45 S.W. Hong, C.-H. Shin and J.-W. Park, Palladium activation on TaNx barrier films for autocatalytic electroless copper deposition, J. Electrochem. Soc., Vol. 149 (1) G85-G88 (2002).

Page 16: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

16

Fig. 15 Electrical resistivity of as-deposited TaNx films as a function of nitrogen flow rate.

Because TaN is not a catalyst for electroless copper deposition, its surfaces has to be catalyzed, as seen above. One of the approaches is to use sensitization step, by Sn2+, prior to activation by Pd. Hsu et al.46 studied Sn/Pd catalyzation and electroless deposition of Cu on TaN diffusion barrier film under various conditions such as solution composition, temperature and immersion time. The morphology, surface roughness and electrical resistivity of electroless copper films were characterized. It was found that for successful catalyzation the TaN surface must be oxide free. Also, as common in electroless deposition, it was found that hydrogen gas was trapped at the Cu/TaN interface creating some problems with the adhesion. Hydrogen problem was alleviated by reducing the rate of electroless deposition and by annealing. Electroless Copper Deposition-Displacement Reactions Displacement reactions are well known in extractive metallurgy as these are commonly used for large tonnage metal production by displacement (recovery) of more noble metals (Me2

n+) dissolved in aqueous solutions with a less noble solid metal (Me1

o), i.e. the metals trade the phases, equation (1): Me2

n+ + Me1o = Me1

n+ + Me2o (1)

Displacement of Silicon. In equation (1) above, Cu2+ representing Me2

n+ displaces Si representing Me1

o. Cupric ion becomes reduced (solid film) and silicon metal oxidized (goes into solution). Copper deposition kinetics on silicon was studied by Chyan et al.47 It was found that the reaction of copper deposition, reaction (2) was fast and controlled by the rate of copper diffusion toward silicon surface,

46 H.-H. Hsu, C.-W. Teng, S.-J. Lin, and J.-W. Yeh, Sn/Pd catalyzation and electroless Cu deposition on TaN diffusion barrier layers, J. Electrochem. Soc., vol. 149 (3), C143-C149 (2002). 47 O.M.R. Chyan, J.-J. Chen, H.Y. Chien, Copper deposition on HF etched silicon surfaces: morphological and kineti studies, J. Electrochem. Soc., vol. 143 (1), pp. 92-96 (1996).

Page 17: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

17

2Cu2+ + Sio + 6HF 2Cu(s) + SiF62- + 6H+ (2)

Reaction (2) is an overall reaction made of two half-reactions, anodic oxidation of Si, reaction (3a) and cathodic reduction of copper, reaction (3b): Sio + 6HF SiF6

-2 + 6H+ + 4e (3a) 2Cu2+ + 4e 2Cu (3b) The effect of copper and HF concentration, surfactant, and hydrogen peroxide on copper dissolution/deposition was studied by Jeon et al.48 Santos Fo et al.49,50 assigned to HF the role to form silicon hydrides, which react with water and Cu2+ during the electroplating, reaction (4), (2Si)=SisH2 + 2H2O + Cu2+ (2Si) = Sis(OH)2 + 4H+ + Cuo (4) which was justified by finding oxygen at the interface between Cu and Si. The same reaction was used as an explanation of copper deposition under anodic cyclic voltammetry conditions. The claim that electroplated copper was porous in order to allow diffusion of water and HF to Si surface is highly questionable, however. Copper adhesion was very poor. Improved adhesion of copper deposited on Si by displacement mechanisms was achieved when plating solution contained ascorbic acid51 under heated conditions. Copper deposition on barrier films, by displacement reactions, is more difficult because barriers are relatively inert. Thus, TaN dissolves slightly only in aggressive solutions such as HF and HNO3. Tseng et al.52,53, in a two part paper, utilized partial dissolution of TaN with HNO3 to enable deposition of copper on TaN barrier. In the plating bath containing CuCl2-HNO3-NH4F-HF, they studied the effect of HNO3 concentration on the copper film properties and found that resistivity decreased with increase of HNO3 concentration, while the grain size and thickness increased. In the second paper, from the effect of concentration and temperature studies, the reactions orders and activation energy of copper deposition were determined. These are given in the rate expression, equation (5): Rate = 1.83·[Cu2+]0.32 ·[Cl-]0.731·[F-]0.019 ·[H+]-0.193·exp(-0.445/kT) (5)

48 J.S. Jeon, S. Raghavan, H.G. Parks, J.K. Lowell, I. Ali, Electrochemical investigation of copper contamination on silicon wafers from HF solutions, J. Electrochem. Soc., vol 143 (9), pp. 2870-2875, (1996). 49 S.G. dos Santos Fo, L.F.O. Martins, P.C.T. D’Ajello, A.A. Pasa, C.M. Hasenback, Electroless and electroplating of Cu on Si, Microelectronic Engineering, 33, pp. 59-64 (1997). 50 S.G. dos Santos Fo, A.A. Pasa, C.M. Hasenack, A mechanism for electroless Cu plating onto Si, Microelectronic Engineering, 33, pp. 149-155 (1997). 51 L. Magagnin, R. Maboudian, and C. Carrary, Selective deposition of thin copper films onto silicon with improved adhesion, Electrochem. Solid-State Letters, 4(1) C5-C7 (2001). 52 W.-T. Tseng, C.-H. Lo, and S.-C. Lee, Electroless deposition of Cu thin films with CuCl2-HNO3 based chemistry. I. Chemical Formulation and Reaction Mechanisms, J. Electrochem. Soc., Vol. 148 (5), C327-C332 (2001). 53 W.-T. Tseng, C.-H. Lo, and S.-C. Lee, Electroless deposition of Cu thin films with CuCl2-HNO3 based chemistry. II. Kinetics and Microstructure, J. Electrochem. Soc., Vol. 148 (5), C327-C332 (2001).

Page 18: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

18

The rate expression (5) reveals that electroless copper deposition in the given bath is the most sensitive to concentration of Cl-, and that pH has a negative effect. The activation energy found, 0.445 eV, indicates that copper film growth is controlled by diffusion of add atoms. Recognizing the reducing power of silicon in copper displacement reactions Lee et al.54 deposited amorphous silicon (a-Si) in deep vias and trenches, patterned on TaN barrier, then immersed the wafer in copper bearing solution for copper deposition. Because the copper film growth is 1.5 times of the a-Si, they were able to completely fill the vias and trenches with metallic copper. The deposited copper had excellent adhesion properties, with minimum overburden. The elegance of the given method is the absence of the need for Cu seed film and the elimination of electrodeposition step. Furthermore, it should be pointed out that contrary to deposition of Cu seed layers, deposition of a-Si in sub 100nm diameter vias, with high aspect ratio, is readily achieved with the existing technologies, such as PVD, PECVD. The next advantage is that the planarization can be achieved with the simple Si-CMP instead of complicated, and expensive, multiple Cu-CMP. Displacement of Nitrides. If redox potential of a diffusion barrier is lower than the redox potential of copper species in solution then copper electrodeposition can proceed directly onto a barrier. The advantage of this approach would be the elimination of surface activation and copper seed layer deposition steps. Wang et al.55 measured the redox potential variation with time of WN, TiN and TaN diffusion barriers in electroless plating bath. It was found that WN had three redox reduction regions, two of which were characterized by higher copper potentials than WN. Copper redox potential was higher than TaN redox potential during the entire time of measurement, indicating that direct copper reduction by displacement of TaN substrate is possible. On the other hand it was found that TiN barrier had significantly higher redox potential than copper in solution, suggesting that direct reduction of copper on TiN substrate is thermodynamically not possible. Experimentally, they confirmed direct deposition of Cu on TaN and that Cu peels off at the Cu/TaN interface compared to sputtered copper, which peals off at the TaN/Si interface. Contrary to Wang et al., Wu et al.56 found that direct copper reduction on TaN barrier film is not possible. Among the three metals tested Cu2+, Pd2+ and Ag+, it was found that only Pd2+ can be directly reduced on TaN, and only in the presence of F-. Further, they found that with respect to TiN barrier film displacement reactions can occur with each of these three metals, but again only if the solution contains fluoride ions. The proposed mechanism of TiN displacement was given with respect to Pd2+, not copper. Thus, TiN is oxidized to release ammonia and four electrons, reaction (6), 4TiN + 12HF2

- 4TiF62- + 4NH3 +4e (6)

54 Y.-P. Lee, M.-S. Tsai, T.-C. Hu, B-T. Dai, and M.-S. Feng, Selective copper metallization by electrochemical contact displacement with amorphous silicon film, Electrochem. and Solid-State Letters, 4(7) C47-C49 (2001). 55 Z. Wang, T. Ida, H. Sakaue, S. Shingubara, T. Takahagi, Electroless plating of copper on metal-nitride diffusion barriers initiated by displacement plating, Electrochem and Solid-State Letters, 6(3) C38-C41 (2003). 56 Y. Wu, W.C. Chen, H.P. Fong, C.C. Wan, and Y.Y. Wang, Displacement reactions between metal ions and nitride barrier layer/silicon substrate, J. Electrochem. Soc., vol. 149 (5), G309-G317 (2002).

Page 19: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

19

which are utilized for reduction of Pd2+ and H+, reactions (7) and (8), respectively: Pd2+ + 2e Pd (7) 2H+ + 2e H2 (8) It was explained that the purpose of F- is to break Ti-N bonding by virtue of its strong electron affinity. The Chemistry of Additives Additives are of essential importance in plating. However, despite the practice for over 50 years this field can still be regarded more as art than fully understood science. Regarding the plating chemistry in damascene copper plating, the IBM electrochemists have just published an excellent review article, Vereecken et al.57 For this reason, this topic will not be reviewed here. Other Diffusion Barriers As Ta based materials are the primary candidates for diffusion barriers, the other explored materials were studied considerably less, thus they will be reviewed only briefly. Ti-barriers. Direct electrochemical deposition of copper on TiN barrier films was studied from pyrophosphate and acid sulfate electrolytes. Instantaneous nucleation mechanisms were found in both electrolytes, but once in the diffusion zone further growth of copper departs from this mechanism, with no clear explanation for the reasons. Wu et al.58 studied the properties of ultra thin Ti and Ti/TiNx barrier films with respect to electrical resistivity and current leakage. It was found that Ti alone was not a satisfactory barrier, but when the top Ti layer was NH3-plasma treated to produce amorphous TiNx, very good barrier properties, even after annealing at 500 oC for 1-hour, were obtained. Similar properties were exhibited by sputtered TiCx films59. The study of interactions between copper and titanium thin films, Castoldi et al.60 and the referenced phase diagrams, show that at relatively low temperatures of 600 oC, copper has no solubility in titanium, while titanium has a finite solubility in copper. This means that copper can diffuse through titanium only via grain boundaries or through compound formation. The predominant compound found was TiCu4 , formed in reaction with the sacrificial Ti layer, in the Ti/TiNx/Ti film barrier. Further diffusion through TiNx layer would have to happen through grain boundary diffusion.

57 P.M. Vereecken, R.A. Binstead, H. Deligianni, P.C. Andricacos, The chemistry of additives in damascene copper plating, IBM J. Res. & Dev., vol. 49, No. 1, pp. 3-18 (January 2005). 58 W.-F. Wu, K.-L. Ou, C.-P. Chou, and J.-L. Hsu, PECVD-Ti/TiNx barrier with multilayered amorphous structure and high thermal stability for copper metallization, Electrochemical and Solid-State Letters, 6(2) G27-G29 (2003). 59 S. J. Wang, H.Y. Tsai, and S.C. Sun, Characterization of sputtered titanium carbide film as diffusion barrier for copper metallization, J. Electrochem. Soc., Vol. 148 (8) C563-C568 (2001). 60 L. Castoldi, G. Visalli, S. Morin, P.Ferrari, S. Alberici, G. Ottaviani, F. Corni, R. Tonini, C. Nobili, and M. Bersani, Copper-titanium thin film interactions, Microelectronic Engineering 76, 153-159 (2004).

Page 20: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

20

W-WNx Barriers. Tungsten and its nitrides are chemically and thermodynamically viable barrier materials with respect to copper diffusion. Like tantalum, tungsten forms nitrides of various proportions. Tungsten nitride films, if metal-rich, recrystallize into W and W2N phases at relatively low temperatures, such as 450 oC, leading to barrier film failure due to grain boundary diffusion61. On the other hand, nitrogen-rich barrier has a higher temperature of recrystallization (~600 oC), however, with a much higher resistivity (>1000 µΩcm) and not so satisfactory resistance to copper diffusion as Ta-nitrides. Ecke et al62 optimized PECVD conditions utilizing WF6-N2-H2 chemistry for deposition of ultrathin (~10nm) WNx amorphous films. All films had low resistivity, around 200 µΩcm, regardless of composition, which varied in the range N:W<1. Annealing in nitrogen at 550 oC did not change the electrical resistivity, but annealing in hydrogen did because of reduction of WNx to α-W. Becker and Gordon63 synthesized highly uniform and smooth amorphous WN film by atomic layer deposition (ALD) technique. 100% step coverage was obtained inside holes with aspect ratios greater than 40:1. Film as thin as 1.5nm proved to be good barriers to copper diffusion for temperatures as high as 600 oC, but failed at 725 oC. Copper deposited also by ALD on the WN film adhered strongly. Kim at al64 developed WNxCy films by using WF6, NH3 and triethylboron chemistry and ALD deposition. The films were crystalline containing fcc β-WC1-x and fcc β-W2N phases. The failure temperature for 12nm WNxCy diffusion barrier was 700 oC at 30 minutes annealing. In comparison, sputter deposited Ta (12nm) and ALD-TiN (20nm) failed after annealing at 650 and 600 oC. Electrodeposition of copper on polycrystalline tungsten from sulfate and borate solutions studied by Wang et al65 revealed unidentifiable nucleation mechanisms and the films of poor characteristics. In electroless copper deposition of copper on tungsten Mak et al66 used tungsten film both as a barrier and also as a reductant for copper deposition by displacement reaction. The rate of displacement reaction from formaldehyde solutions was slower than the reduction of copper on copper resulting in the copper film of uneven thickness. This problem was solved by reducing the reaction rate of the later by decreasing the temperature. It was also found that the copper deposition was a photosensitive reaction, thus the recommendation was to conduct the electroless copper deposition process in the dark.

61 D. Ko, B. Park, Y. Kim, J. Ha, Y. Park et al. in Advanced Metallization and Interconnect Systems for ULSI Applications, Mater. Res. Soc., 1996, pp. 257-264. 62 R. Ecke, S.E. Schulz, M. Hecker, T. Gessner, Development of PECVD WNx ultrathin film as barrier layer for copper metallization, Microelectronic Engineering 64, pp. 251-268, (2002). 63 J.S. Becker and R.G. Gordon, Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis(tert-butylimido)bis(demthylamido)tungsten and ammonia, Applied Physics Letters, vol. 82 (14), pp. 2239-2241, (2003). 64 S-K. Kim, S.S. Oh, H.-M. Kim, D.-H. Kang, K-B. Kim, W.-M. Li, S. Haukka, and M. Touminen, Characterization of atomic layer deposited WNxCy thin film as a diffusion barrier for copper metallization, Mat. Res. Soc. Symp. Proc. Vol. 766, E10.9.1, (2003). 65 C. Wang, J. Lei, C. Bjelkevig, S. Rudenja, N. Magtoto, and J. Kelber, Electrodeposition of adherent copper film on unmodified tungsten, Thin Solid Films, 445, pp. 72-79 (2003). 66 C.Y. Mak, B. Miller, L.C. Feldman, Y.-H. Wong, B.E. Weir and J. Blanco, Electroless copper deposition on tungsten for silicon integrated circuits, Proc. 2nd Int. Symp. Elecrochem. Techol. Appl. in Electon., L.T. Romankiw, T. Osaka, M. Datta, Y. Yamazaki, Proc. Vol. 93-20, The Electrochemical Society, pp. 233-256.

Page 21: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

21

In direct electrodeposition of copper on W2N barrier films studies by Shaw and Duquette67 it was demonstrated that high quality copper films can be produced if proper plating chemistry and pH parameters are selected. The quality of film was controlled by enabling high population density of copper nuclei (2x1010 nuclei/cm2). Because ammonia is solvent for W2N, the citrate plating bath was used instead, while ammonia was used only for pH adjustment (pH 7). Contrary to copper plating from sulfuric acid plating baths, where nucleation of copper on W2N cannot be achieved due to the formation of surface tungsten oxides, the citrate-ammonia plating baths can be exposed to air. Adhesion of copper to tungsten barrier film was excellent even when the bath was exposed to air. TiZr-N Barriers. Zirconium and titanium nitrides are fully miscible68. Although the first deposition of thin (TiZr)N films was achieved by reactive magnetic sputtering in almost two decades ago69, their first application as barriers for copper diffusion was investigated only recently. Kuo et al.70 studied the characteristics of (Ti,Zr)N0.95 (59.3 µΩ-cm), (Ti,Zr)N0.76 (276.4 µΩ-cm) and TaN0.71 (220 µΩ-cm) with respect to their resistance to copper diffusion. It was found that (Ti,Zr)N0.95 was most resistive to copper diffusion upon annealing up to 750 oC. In the follow up studies71 these authors determined copper diffusion coefficients and their variation with temperature. Two reaction regions were identified, with respective activation energies of 2.44 ev and 0.92ev in 750-850 and 500-750 oC. Extensive characterization of (Ti,Zr)N barrier films was performed by Balakumar72 by comparing TiZr and (Ti,Zr)N with TaN films with respect to barrier stress, roughness of the barrier film and copper seed deposited on the barriers, diffusion depth, stress of electroplated layer, adhesion properties, and the rate of removal by CMP. According to this investigation, TiZr films had the lowest stress, much lower than TaN. However, copper diffusion depth was the highest for TiZr barriers, and the lowest for (Ti,Zr)N. The roughness of barriers without and with the copper seed layer was the lowest for TiZrN. The CMP characteristics, with respect to the rate of copper and barrier removal, and peeling, i.e. adhesion properties, were the best for (Ti,Zr)N barriers. This review of limited available data points out that (Ti,Zr)N barriers offer better protection against copper diffusion, and CMP characteristics, than TaN based barriers. +

67 M.J. Shaw and D.J. Duquette, Seedless electrochemical deposition of copper on PVD-W2N liner materials for ULSI devices, J. Electronic Materials, 30(12) pp. 1602-1608 (2001). 68 P. Duwez and F. Odell, J. Electrochem. Soc. 97, 299 (1950) 69 O. Knotek, M. Bohmer and T. Leyendecker, J. Vac. Sci. Technol. A., 4, 2695 (1986) 70 Y-L. Kuo, C. Lee, J.-C. Lin, C.-H. Peng, L.-C. Chen, C.-H. Hsieh, S.-L. Shue, M.-S. Liang, B.J. Daniels, C.-L. Huang, and C.-H. Lai, Characteristics of DC reactively sputtered (Ti,Zr)N thin films as diffusion barriers for Cu metallization, Electrochemical and Solid-State Letters, 6 (9) C123-C125 (2003). 71 Y.-L. Kuo, H.-H. Lee, C. Lee, C. Lee, J.-C. Lin, S.-L. Shue, M.-S. Liang and B.J. Daniels, Electrochemical and Solid State Letters, 7(3), C35-C37 (2004). 72 S. Balakumar, T. Hara, R. Kumar, T. Wakabayashi, M. Uchida, Properties of Cu layers deposited on TiZr-based barriers and CMP compatibility of the barriers, Electrochemical and Soli-State Letters, 7(8) G175-178 (2004).

Page 22: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

22

PROPOSED WORK The research proposed here is unique with respect to the experimental approach, and the chemistry. The two proposed experimental techniques are: (1) The Rotating Disk Electrode Technique (RDE), and (2) Scanning Electrochemical Microscopy Technique (SECM). Both are briefly described below. Experimental Techniques

Rotating Disk Electrode73

The use of RDE has been developed by the schools of Levich74, Frumkin75 and Riddiford76. It later on evolved into the rotating disk-ring electrode technique (RDRE), Frumkin and Nekrasov77. The most important aspect of the RDE is that Levich provided the rigorous solution to the convective mass transport problem, which became the well know Levich equation, equation (9):

ilim = 0.62nFACbD2/3v-1/6w1/2 (9) where, ilim

= limiting current (mA), Cb = bulk concentration of electroactive species (M/L), υ = kinematic viscosity (cm2/sec), ω = angular velocity of the disk (rad/sec).

73 Yu. V. Pleskov and Y. Yu. Filinovskii, The Rotating Disk Electrode, Consultants Bureau, New York, ISBN 0-306-10912-3, (1976). 74 V.G. Levich, Physicochemical Hydrodynamics, Prentice Hall (1962). 75 A. N. Frumkin and L. N. Nekrasov, V.G. Levich, Yu. Ivanov, J. Electroanal. Chem., 1, 84 (1959) 76 B.L. Birchumshaw and A.C. Riddiford, Quarterly Rev. 6, 157 (1952). 77 A.N. Frumkin and L.N. Nekrasov, Dokl. Akad. Nauk SSSR, 126, 115 (1959).

Page 23: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

23

Fig. 16 Rotating Disk Electrode Setup. The schematics of RDE setup is given in Fig. 16. The mechanical hardware consists of a rotating disk mounted on a motor shaft and a motor controller to control the angular disk velocity. The electrochemical components are the rotating disk as a working electrode (RDE), reference electrode (RE), and a counter electrode. The electrode events are controlled by a potentiostat/galvanostat, which in turn is controlled by a desktop computer.

Scanning Electrochemical Microscopy (SECM) Scanning electrochemical microscopy78 (SECM) is about of the same age as the atomic force microscopy. It has evolved from the knowledge of electrochemistry at ultramicroelectrodes and the application of piezoelectric elements to position a tip, as in scanning tunneling microscopy (STM). SECM has become an essential tool for research in various areas of chemistry and biochemistry for measurement of reaction kinetics79, ,80 81, the catalytic effects82, characterization

78 A.J. Bard and M.V. Mirkin, Edts. Scanning Electrochemical Microscopy, Marcel Dekker 2001. 79 A.L, Barker, J. V. Macpherson, C. J. Slevin and P. R. Unwin. "Scanning Electrochemical Microscopy (SECM) as a Probe of Transfer Processes in 2-Phase Systems - Theory and Experimental Applications of SECM-Induced 80 E. Ammann, and D. Mandler, Local Deposition of Gold on Silicon by the Scanning Electrochemical Microscope." J Electrochem Soc 148(8): C533-C539 (2001). 81 B. Speiser, From Cyclic Voltammetry to Scanning Electrochemical Microscopy - Modern Electroanalytical Methods to Study Organic-Compounds, Materials, and Reactions, Curr Org Chem 3(2): 171-191, (1999).

Page 24: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

24

of self-assembled monolayers83, characterization of passive films84, mass transfer at the liquid-liquid interface85, charge transfer through membranes and polymers86, and so on. The electrochemical components of SECM setup, Fig. 17, are two working electrodes (species generator and species collector), common reference and counter electrodes. One of the working electrodes has the shape of a sharp tip. Just as with the AFM, the vertical and horizontal tip position can be controlled during the experimentation. Thus, the tip-working electrode can be used for imaging in a constant current, or a constant potential mode. The tip movement is achieved by a piezo oscillator for scans in the 100 μ x 100 μ range. Large scale piezo positioning (X-Y-Z) is achieved by stepper motors. The movements are controlled by nano/micro controllers. The electrode events are independently controlled by a bipotentiostat. The key advantage of SECM over the AFM is in its ability to provide spatial chemical information, which AFM lacks. However, the lateral morphological imaging of AFM is superior. Obviously, these two techniques should be combined to capitalize on each others strength, which is the current trend87.

82 Y. Selzer , I. Turyan and D. Mandler (1999). "Studying Heterogeneous Catalysis by the Scanning Electrochemical Microscope (Secm) - The Reduction of Protons by Methyl Viologen Catalyzed by a Platinum Surface, J Phys Chem B 103(9): 1509-1517, (1999). 83 T. Wilhelm and G. Wittstock , Patterns of Functional Proteins Formed by Local Electrochemical Desorption of Self-Assembled Monolayers, Electrochim Acta 47(1-2): 275-281 (2001. 84 K. Fushimi, K. Azumi and M. Seo (2000). "Use of a Liquid-Phase Ion Gun for Local Breakdown of the Passive Film on Iron, J Electrochem Soc 147(2): 552-557, (2000). 85 Z. F. Ding, B. M. Quinn and A. J. Bard (2001). "Kinetics of Heterogeneous Electron-Transfer at Liquid/ Liquid Interfaces as Studied by Secm." J Phys Chem B 105(27): 6367-6374. 86 G. Wittstock, T. Asmus and T. Wilhelm, Investigation of ion-bombarded conducting polymer films by scanning electrochemical microscopy (SECM), Fresenius' J Anal Chem 367(4): 346-351 (2000). 87 C.E. Gardner and J.V. Macpherson, Atomic force microscopy probes go electrochemical, Anal. Chem. November 1, 570A-584A, (2002).

Page 25: Proposal COPPER ELECTRODEPOSITION ON BARRIER LAYERS ... · alternative interconnect material to replace Al and its alloys for deep submicrometer integrated ... copper diffusion barriers

25

Fig. 17 Scanning Electrochemical Microscopy (SECM) Setup.

PROJECT TASKS Regarding the proposed research, the specific tasks are described individually.