106
Modeling and Simulation of the Programmable Metallization Cells (PMCs) and Diamond-Based Power Devices by Mehdi Saremi A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved April 2017 by the Graduate Supervisory Committee: Stephen Goodnick, Chair Dragica Vasileska Michael Kozicki Shimeng Yu ARIZONA STATE UNIVERSITY May 2017

Modeling and Simulation of the Programmable Metallization

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Modeling and Simulation of the Programmable Metallization

Modeling and Simulation of the Programmable Metallization Cells (PMCs) and

Diamond-Based Power Devices

by

Mehdi Saremi

A Dissertation Presented in Partial Fulfillment

of the Requirements for the Degree

Doctor of Philosophy

Approved April 2017 by the

Graduate Supervisory Committee:

Stephen Goodnick, Chair

Dragica Vasileska

Michael Kozicki

Shimeng Yu

ARIZONA STATE UNIVERSITY

May 2017

Page 2: Modeling and Simulation of the Programmable Metallization

i

ABSTRACT

This PhD thesis consists of three main themes. The first part focusses on

modeling of Silver (Ag)-Chalcogenide glass based resistive memory devices known as

the Programmable Metallization Cell (PMC). The proposed models are examined with

the Technology Computer Aided Design (TCAD) simulations. In order to find a

relationship between electrochemistry and carrier-trap statistics in chalcogenide glass

films, an analytical mapping for electron trapping is derived. Then, a physical-based

model is proposed in order to explain the dynamic behavior of the photodoping

mechanism in lateral PMCs. At the end, in order to extract the time constant of ChG

materials, a method which enables us to determine the carriers’ mobility with and without

the UV light exposure is proposed. In order to validate these models, the results of TCAD

simulations using Silvaco ATLAS are also presented in the study, which show good

agreement.

In the second theme of this dissertation, a new model is presented to predict single

event transients in 1T-1R memory arrays as an inverter, where the PMC is modeled as a

constant resistance while the OFF transistor is model as a diode in parallel to a

capacitance. The model divides the output voltage transient response of an inverter into

three time segments, where an ionizing particle striking through the drain–body junction

of the OFF-state NMOS is represented as a photocurrent pulse. If this current source is

large enough, the output voltage can drop to a negative voltage. In this model, the OFF-

state NMOS is represented as the parallel combination of an ideal diode and the intrinsic

capacitance of the drain–body junction, while a resistance represents an ON-state NMOS.

The proposed model is verified by 3-D TCAD mixed-mode device simulations. In order

Page 3: Modeling and Simulation of the Programmable Metallization

ii

to investigate the flexibility of the model, the effects of important parameters, such as

ON-state PMOS resistance, doping concentration of p-region in the diode, and the

photocurrent pulse are scrutinized.

The third theme of this dissertation develops various models together with TCAD

simulations to model the behavior of different diamond-based devices, including PIN

diodes and bipolar junction transistors (BJTs). Diamond is a very attractive material for

contemporary power semiconductor devices because of its excellent material properties,

such as high breakdown voltage and superior thermal conductivity compared to other

materials. Collectively, this research project enhances the development of high power and

high temperature electronics using diamond-based semiconductors. During the

fabrication process of diamond-based devices, structural defects particularly threading

dislocations (TDs), may affect the device electrical properties, and models were

developed to account of such defects. Recognition of their behavior helps us understand

and predict the performance of diamond-based devices. Here, the electrical conductance

through TD sites is shown to be governed by the Poole-Frenkel emission (PFE) for the

temperature (T) range of 323 K ˂ T ˂ 423 K. Analytical models were performed to fit

with experimental data over the aforementioned temperature range. Next, the Silvaco

Atlas tool, a drift-diffusion based TCAD commercial software, was used to model

diamond-based BJTs. Here, some field plate methods are proposed in order to decrease

the surface electric field. The models used in Atlas are modified to account for both

hopping transport in the impurity bands associated with high activation energies for

boron doped and phosphorus doped diamond.

Page 4: Modeling and Simulation of the Programmable Metallization

iii

ACKNOWLEDGMENTS

I would like to express my deep gratitude to my adviser Prof. Stephen Goodnick

for his guidance, enthusiastic encouragement and useful critique of this research work. I

consider myself truly lucky to have worked with him. He has shown incredible patience,

served as an excellent mentor, and provided me every opportunity to succeed in this field.

I would like to cordially thank Prof. Dragica Vasileska, for supporting me to overcome

my problems throughout my PhD. Without her warm-hearted help and support, none of

this work would have been possible. I would also like to thank Prof. Michael Kozicki for

always being available to lend me advice whenever I encountered road blocks in my

research. I would like to acknowledge Prof. Shimeng Yu for being a part of my Graduate

Advisory Committee and for his insight into the direction of this project.

Finally, my family deserves major gratitude for everything they taught me.

Whoever I am today, I owe it to my mother, Fatemeh and my father, Mohammad

Hossein. My mother taught me how to stay strong and handle challenges in my life. My

father raised me with amiability. My sisters, Maryam and Masoumeh, taught me how to

love what you do and do what you love. And finally, I really appreciate the love and

support of my wife, Mina. She taught me how to be hopeful and have fun even in the

most difficult situations.

Page 5: Modeling and Simulation of the Programmable Metallization

iv

TABLE OF CONTENTS

Page

LIST OF FIGURES .......................................................................................................... vii

LIST OF TABLES ............................................................................................................ xii

CHAPTER

1. PROGRAMMABLE METALLIZATION CELLS ........................................................ 1

1.1. Operation and Structure ........................................................................................... 1

1.2. Theories of Conduction............................................................................................ 7

1.2.1. Metal Ions: The Butler-Volmer Equation for Electrode Redox .................... 8

1.2.2. Metal Ions: Mott-Gurney Hopping ............................................................... 9

2. ANALYTICAL RELATIONSHIP BETWEEN ANION FORMATION AND

CARRIER-TRAP STATISTICS IN CHALCOGENIDE GLASS FILMS ...................... 10

2.1. Introduction ............................................................................................................ 10

2.2. Mathematical Model .............................................................................................. 12

2.3. Conclusion ............................................................................................................. 18

3. A PHYSICAL-BASED SIMULATION FOR THE DYNAMIC BEHAVIOR OF

PHOTODOPING MECHANISM IN THE CHALCOGENIDE MATERIALS USED IN

THE LATERAL PROGRAMMABLE METALLIZATION CELLS .............................. 19

3.1. Introduction ............................................................................................................ 19

3.2. Physical-Based Simulation .................................................................................... 22

Page 6: Modeling and Simulation of the Programmable Metallization

v

CHAPTER Page

3.3. Conclusion ............................................................................................................. 32

4. CARRIER MOBILITY EXTRACTION METHOD IN CHALCOGENIDE GLASSES

IN THE UV LIGHT EXPOSURE .................................................................................... 34

4.1. Introduction ............................................................................................................ 34

4.2. Circuit Setup and TCAD Simulation ..................................................................... 35

4.3. Conclusion ............................................................................................................. 39

5. A PHYSICALLY-BASED PREDICTIVE MODEL FOR SINGLE EVENT

TRANSIENTS IN CMOS GATES ................................................................................... 41

5.1. Introduction ............................................................................................................ 41

5.2. Equivalent Circuit Model and Transient Response ............................................... 43

5.3. Analytical Model ................................................................................................... 47

5.3.1. Region I ....................................................................................................... 49

5.3.2. Region II ..................................................................................................... 50

5.3.3. Region III .................................................................................................... 59

5.4. Conclusion ............................................................................................................. 60

6. ANALYSIS OF THE REVERSE I-V CHARACTERISTICS OF DIAMOND-BASED

PIN DIODES .................................................................................................................... 62

6.1. Introduction ............................................................................................................ 62

6.2. Diamond Growth and Device Fabrication ............................................................. 63

Page 7: Modeling and Simulation of the Programmable Metallization

vi

CHAPTER Page

6.3. Modeling Methodology ......................................................................................... 65

6.4. Temperature Analysis ............................................................................................ 67

6.5. Simulation Results ................................................................................................. 71

6.6. Conclusions ............................................................................................................ 74

7. SIMULATION OF DIAMOND-BASED BIPOLAR JUNCTION TRANSISTORS .. 75

7.1. Introduction ............................................................................................................ 75

7.2. Field-Plate Methods ............................................................................................... 76

8. SUMMARY AND CONCLUSIONS ........................................................................... 82

REFERENCES ................................................................................................................. 85

Page 8: Modeling and Simulation of the Programmable Metallization

vii

LIST OF FIGURES

Figure Page

1.1: Silver Dendrite Bridging of the Gap [10] .................................................................... 3

1.2: PMC Device Operation [13] ........................................................................................ 4

1.3: Filament Formation and Subsequent Radial Growth [14] ........................................... 5

1.4: Erase Time Required Based on the Written Resistance [16] ....................................... 6

1.5: Time Required to Erase Based on the Erase Voltage [16] .......................................... 6

1.6: Butler-Volmer Current Vs. Overpotential Curve Showing the Cathode and Anode

and Total Currents [18] ....................................................................................................... 9

2.1: Cross-section of the ChG Simulation Structure, I.E., Ge30Se70 Material Sandwiched

Between Ohmic Anode and Cathode Contacts ................................................................. 15

2.2: The Concentration Vs Filled Trap Concentration in the Equilibrium and Steady-state

(Photogeneration) .............................................................................................................. 17

2.3: Filled Trap Concentration Vs Trap Energy in the Equilibrium. The Middle Point

(Trap Energy of 0.65 eV) Is Obtained from Chemical Reaction Simulation ................... 17

3.1: The Band Diagram of the System (Ag/ ChG/ Ni) Before Connection ...................... 23

3.2: Cross-section Schematic of the Simulation Structure ................................................ 24

3.3: Ag Profile Across the Cutline for Both the Unphotodoped and Photodoped Cases.. 29

3.4: Ag Distribution for (a) the Unphotodoped Case and (b) the Photodoped Case ........ 29

Page 9: Modeling and Simulation of the Programmable Metallization

viii

Figure Page

3.5: The Electric Field Profile Across the Cutline Which Is the Same for Both the

Unphotodoped and Photodoped Cases.............................................................................. 30

3.6: Carrier Concentrations Across the Device from the Anode Contact to the Cathode

Contact for Both the Unphotodoped and Photodoped Cases ............................................ 31

3.7: Electron Distribution for (a) the Unphotodoped Case and (b) the Photodoped Case 31

3.8: The Band Diagram of the Device Across the Device from Anode to Cathode for (a)

the Unphotodoped Case and (b) the Photodoped Case ..................................................... 33

4.1: Cross-section of the Ge30Se70 Structure Sandwiched Between Ni Anode and Cathode

Contacts. All Dimensions Are in µm. ............................................................................... 35

4.2: The Circuit Setup with a Voltage Controlled Switch in Order to Obtain the Time

Constant of the Ni/ChG/Ni Arrangement ......................................................................... 36

4.3: The Voltage Drop Across the Device Without and With UV Light Exposure ......... 37

5.1: Simulated Drain Voltage Transients for Different LETs in the Struck NMOS

Transistor of a 10-inverter Delay Chain Fabricated in 0.18 µm Bulk CMOS Technology

[81] .................................................................................................................................... 42

5.2: a) An Inverter with a Load Capacitor Exposed to Ionizing Particles, b) the Equivalent

Circuit Representing Diode (D), Resistance (R) and Load Capacitance (C). Ipc Represents

the Injected Current by the Ion Strike and c) the Diode Schematic.................................. 44

5.3: Output Voltage, Vout, Vs Time with Different Resistance Values During Mixed Mode

TCAD SET Simulation ..................................................................................................... 47

Page 10: Modeling and Simulation of the Programmable Metallization

ix

Figure Page

5.4: The Resistance Current, IR, Vs Time with Different Resistance Values During Mixed

Mode TCAD SET Simulation ........................................................................................... 47

5.5: Typical Output Voltage (Vout) Vs Time During SET Simulation .............................. 48

5.6: TCAD Simulation of the Carrier Concentration near the Anode Contact of the Diode

Right after the Radiation Strike with LET of 10 MeV/(mg/cm²) While the Resistive Load

is 50 kΩ in Which Debye Length (LD) is Shown .............................................................. 52

5.7: The Excess Carrier Concentration (ns) Vs the Photocurrent Pulse (Ipc) Derived from

Equation (5.6) in Comparison to TCAD Simulation. The Load Resistance is 50 kΩ ...... 54

5.8: Duration Time (T) Vs Resistance for Both TCAD Simulation and the Analytical

Model with LET of 10 MeV/(mg/cm²) ............................................................................. 58

5.9: Duration Time (T) vs LET for Both TCAD Simulation and the Analytical Model.

The Load Resistance is 50 kΩ .......................................................................................... 58

5.10: Duration Time (T) as a Function of Doping Concentration of P-region for Both

TCAD Simulation and the Analytical Model with the LET of 10 MeV/(mg/cm²) and

Load Resistance of 50 kΩ ................................................................................................. 59

5.11: Characteristics Time of Region III vs Load Resistance for Both TCAD Simulation

and the Analytical Model with LET of 10 MeV/(mg/cm²) ............................................... 60

Page 11: Modeling and Simulation of the Programmable Metallization

x

Figure Page

6.1: (a) Experimental I-V Characteristics for the D300 Sample While Temperature Varies

from 323 K to 423 K. (b) I-V Characteristics Based on the Hopping Mechanism Versus

the Experimental data (c) I/V Fitting Curves as Functions of the Square Root of the

Applied Reverse Bias Voltages Based on the PFE Mechanism Versus the Experimental

Data ................................................................................................................................... 69

6.2: The Extracted PFE Parameters from Experimental Data: (a) m and (b) b as the

Function of Temperature. The Solid Blue Lines Represent Linear Fittings of Extracted m

and b Parameters ............................................................................................................... 70

6.3: The TCAD Simulation Method of Diamond-based PIN Diodes ............................... 72

6.4: (a) Simulated I-V Characteristics Compared with the Experimental Data of D300

Sample While the Temperature Varies from 323 K to 423 K. (b) The PFE Mapping of the

Simulation Results Versus Experimental Data of D300 Sample ...................................... 73

6.5: Simulated I-V Characteristics of D300 Sample at 323 K for Different Threading

Dislocation Densities ........................................................................................................ 74

7.1: Two Proposed Field-plate Methods in Diamond-based BJTs ................................... 77

7.2: The Electric Field Distribution of (a) the Diamond-based BJT Without Any Field-

plate, (b) the Diamond-based BJT with Field-plates Shown in Figure 7.1(a), and (c) the

Diamond-based BJT with Field-plates Shown in Figure 7.1(b) at VCE=-500 V and VEB=

4.7 V .................................................................................................................................. 78

Page 12: Modeling and Simulation of the Programmable Metallization

xi

Figure Page

7.3: The Electric Field at the Cutline AA’ for Three Cases: BJT Without Any Field-plate,

BJTs with Field-plates (Shown in Figure 7.1(a)) Surrounded by Air and SiO2

Respectively at VCE=-500 V and VEB= 4.7 V ................................................................... 80

7.4: The Electric Field at the Cutline AA’ for Different Materials Including High-k

Materials Surrounding Field-plates at VCE=-500 V and VEB= 4.7 V ................................ 80

7.5: The Electric Field at the Cutline AA’ for the Diamond-based BJT Without Any

Field-plate and the Diamond-based BJT with the Field-plates Shown in Figure 7.1(b) at

VCE=-500 V and VEB= 4.7 V............................................................................................. 81

Page 13: Modeling and Simulation of the Programmable Metallization

xii

LIST OF TABLES

Table Page

2.1: Parameters of Ge30Se70 Material [56] ........................................................................ 15

2.2: Typical Parameters of the Chemical Reaction Based on Equation (2.1) ................... 16

3.1: The Parameters of the Ge30Se70 as ChG Material ...................................................... 22

3.2: The Values of Diffusivity Parameters for Ag and Ag+ Based on Equation (3.2) ...... 25

4.1: Parameters of Ge30Se70 Material ................................................................................ 36

4.2: Parameters of the Voltage Controlled Switch............................................................ 37

Page 14: Modeling and Simulation of the Programmable Metallization

1

1. PROGRAMMABLE METALLIZATION CELLS

1.1. Operation and Structure

Resistive switching random access memory (ReRAM) is increasingly considered as a

viable next generation technology for low power, high density non-volatile memory [1]-

[6], as well as for Boolean and non-Boolean computation [7]. The main attraction for

such devices is their non-volatile memory property. They exhibit almost zero leakage as

the information can be stored as an intrinsic resistance instead of electrical charge. In this

work, the discussion is concentrated on a sub-class of ReRAM devices known as

Programmable Metallization Cells (PMCs). It may be pointed out here that different

variations in material composition and choice of electrode metal etc. exist amongst

ReRAM devices (and also within PMCs), and form part of active research into improving

the desirable performance-related properties such as endurance, retention, switching

energy, etc. However, most ReRAM devices have a similar basic switching mechanism

and electrical behavior, and hence the research presented in this work can be applicable

for a large variety of ReRAM devices. PMCs are also known as Conductive Bridge

Random Access Memory (CBRAM) [8] or Electrochemical Metallization Memory

(ECM). The specific structure of devices examined in this study consist of an Ag-doped

chalcogenide film (Ge30Se70) sandwiched between an anode formed with an active metal

(Ag) and a cathode formed with an inert metal (Ni) [9].

Page 15: Modeling and Simulation of the Programmable Metallization

2

The PMC device is a simple two-terminal structure, comprising a bottom inert

electrode, the solid electrolyte, and an oxidizable metal layer, which can also be the top

electrode. The solid electrolyte is an ion-conducting resistive material, often comprised of

a chalcogen-rich germanium-selenide (or -sulfide) chalcogenide glass (ChG) or

sometimes oxides. The anode is made of a readily oxidizable metal such as Ag or Cu.

The cathode is made of a non-oxidizable metal such as Ni, W or Pt. The memory effect

of these materials was first reported in 1976 by Hirose and Hirose [10]. Designs with

more layers also exist [4, 11]. Many chemical compounds have been used as the solid-

electrolyte, although they are mostly oxides or chalcogenides. Through a thermal,

chemical, or photochemical doping process during fabrication or through an electrical

forming process after fabrication, some of the materials which are used in the electrolyte,

such as GexSy and GexSey, start as insulators without mobile ions and become ionic or

mixed conductors containing mobile ions [2]. Density functional calculations in Ge2Se3

have shown that silver will auto-ionize by giving an electron to the conduction band,

which allows transport of ionized Ag atoms in the presence of an electric field. This

behavior occurs in insulators with large numbers of acceptor defect states in the bandgap

and in narrow-bandgap materials [12].

By applying an electric field, an electrochemical reaction happens at the anode side

that generates silver ions. The ions migrate across the chalcogenide layer and are

deposited at the cathode. A metal conductive filament forms that bridges the gap between

cathode and anode, and shunts the high resistance electrolyte. So the device goes from

high resistance to low resistance (LRS) [13]. Figure 1.1 shows a bridged device [10].

Page 16: Modeling and Simulation of the Programmable Metallization

3

Figure 1.1: Silver Dendrite Bridging of the Gap [10]

At the beginning of the reset process under reverse electrical bias, because of the

enhanced lateral electric field at the top of the filaments, the cations first tend to dissolve

laterally. At some point the diameter of the filament goes to zero at the top and the reset

occurs. So the device returns to its high resistance state (HRS) as the filament breaks and

Ag is deposited back on the top electrode [10].

Figure 1.2 shows how the PMC memory works [13]. When the voltage increases,

silver oxidizes at the anode and migrates under the electric field to reduce on the cathode

((A) write operation). A silver conductive filament starts to form on the cathode. By

increasing the applied voltage, the gap will be bridged and the current flow increases

rapidly due to a much lower resistance path between two electrodes, which is provided by

the conductive filament ((B) written device). In Figure 1.2, the compliance current is set

to 25µA.

Page 17: Modeling and Simulation of the Programmable Metallization

4

Figure 1.2: PMC Device Operation [13]

By reducing the applied voltage, the filament acts like a resistor, and the current-

voltage response is linear at lower voltages. When the applied voltage becomes more

negative, silver oxidizes from the filament and migrates back toward the Ag anode until a

gap opens in the filament, and silver no longer bridges the electrodes, causing the current

to rapidly drop ((C) reset operation). Applying a more negative voltage causes most of

the silver to return to the anode ((D) erased device). The resistance of the written state is

usually many orders of magnitude lower than that of the erased state, allowing the device

to behave as a memory element [13].

By changing the compliance current, multiple resistance states have been

demonstrated [14]. Higher current results in more radial growth of the filament. The

Page 18: Modeling and Simulation of the Programmable Metallization

5

filament formation and the redial growth are shown in Figure 1.3 [14]. Multiple

resistance states mean that we can have multibit storage per cell.

Figure 1.3: Filament Formation and Subsequent Radial Growth [14]

The atomic nature of the Ag filament as it first bridges the anode results in multiple

resistance states associated with quantized conductance [15]. The fundamental

conductance is G0=2e2/h, and conductance of the filament may be in multiples of that

value [15], where the conductive filaments may be as small as one atom wide. Figures 1.4

and 1.5 show the possibility of radial growth of the filament for very low written

resistances. It may take more time or voltage to erase devices with large filaments and

large amounts of silver. The required time for erasing the cell depends both on the written

resistance and the erase voltage of the device [16]. It can be seen from Figures 1.4 and

1.5 that the lower erase voltages result in longer erase times [16].

Page 19: Modeling and Simulation of the Programmable Metallization

6

Figure 1.4: Erase Time Required Based on the Written Resistance [16]

In many cases, the chalcogenide memory is fabricated as a binary chalcogenide, such

as GexSey. During the first write cycle, since Ag migrates across the entire gap, it may

take longer time. For the next write operations, since the path has already been formed,

the write process may be faster. Higher silver incorporation into the memory layer speeds

up the first write operation [17].

Figure 1.5: Time Required to Erase Based on the Erase Voltage [16]

Page 20: Modeling and Simulation of the Programmable Metallization

7

When the device’s gap has been bridged, the resistance characteristics are metallic; in

the erased state, the resistance is that of a semiconductor [10]. The conduction

characteristic is important because it strengthens the idea that there is a metallic filament

bridging the semiconductor when the device is written. In the erased state, there is no

metal conductor.

1.2. Theories of Conduction

The writing process has several steps. The first step is oxidation at the anode:

eAgAg (1.1)

Next, ions migrate toward the cathode under an electric field. Finally, the ions reduce at

the cathode:

AgeAg (1.2)

So the kinetics of silver ion motion are divided into two parts: the migration of ions

through the chalcogenide memory layer modeled by the Mott and Gurney thermally

activated ion hopping mechanism [17], and the Butler-Volmer equation describing the

anode and cathode reaction [18]. There is also electron flow in the chalcogenide layer

during the write and erase operations due to the following mechanisms [13]:

Band conduction in the extended states

Mott’s T1/4

variable range hopping

Schottky emission

Poole-Frenkel emission

Page 21: Modeling and Simulation of the Programmable Metallization

8

Fowler-Nordheim tunneling

1.2.1. Metal Ions: The Butler-Volmer Equation for Electrode Redox

Equation (1.3) is the Buttler-Volmer equation, which describes the current when there

is oxidation at an anode and reduction at the cathode [13].

)],/

)1(exp(

*

),0()

/exp(

*

),0([0 qkT

rC

trC

qkToC

toCII

(1.3)

where I0 is the exchange current, defined as the equilibrium current from either electrode

(the net current flow is zero), and the transfer coefficient α is a 0-1.0 fitting parameter, η

is the overpotential defined as the potential difference between the applied voltage and

zero current equilibrium potential. The PMC device has no current at zero applied

potential, so the overpotential is equal to the applied potential to the device. Co(0,t)/C0*

and Cr(0,t)/Cr* are the relative surface to bulk concentrations at electrodes. In Figure 1.6,

the individual cathode and anode currents are shown in the typical Butler-Volmer current

plot [18].

Page 22: Modeling and Simulation of the Programmable Metallization

9

Figure 1.6: Butler-Volmer Current Vs. Overpotential Curve Showing the Cathode and

Anode and Total Currents [18]

1.2.2. Metal Ions: Mott-Gurney Hopping

The ion-transfer process is based on Mott-Gurney hopping [19]. The ion current

density-electric field equation for ion hopping is shown in Equation (1.4):

),/2

sinh()/

0

exp(2qkT

aE

qkT

awqCaJ (1.4)

The equation consists of the concentration of mobile cations C, the hopping rate ν, the

hopping distance a, and the energy barrier wa0. The hyperbolic sine tends to an

exponential at high electric fields, as shown in Equation (1.5) [19]:

),/2

exp()/

0

exp(2qkT

aE

qkT

awqCaJ (1.5)

For low electric fields, the equation has a linear dependence on the electric field, as

shown in Equation (1.6) [19]:

)./

0

exp(/

2

qkT

awE

qkT

CqaJ

(1.6)

Page 23: Modeling and Simulation of the Programmable Metallization

10

2. ANALYTICAL RELATIONSHIP BETWEEN ANION FORMATION AND

CARRIER-TRAP STATISTICS IN CHALCOGENIDE GLASS FILMS

2.1. Introduction

One important, although often overlooked, aspect of modern solid state materials and

devices is the relationship between electrical and chemical effects [20]. In solid state

electrolytes, for example, when electrons are released from an atom, the resulting

positively charged ions can move in response to an electric field. The process of

oxidation can be reversed when an ion captures an electron through reduction [20–28].

Through these reduction-oxidation (RedOx) processes, atoms converted to ions at one

location can be deposited at another. The ion conducting materials are often compounds

of oxygen (O) [29–37], sulfur (S) [38–41], and selenium (Se) [24, 42–47], characterized

by their relatively high ion mobility [20].

One of the main applications for solid electrolytes/ion conductors is in nano-ionic

memristive nonvolatile memory devices, e.g., Conductive Bridge Random Access

Memory (CBRAM) based on the Programmable Metallization Cell (PMC) technology

platform [9]. In CBRAM, the ion conductors are often chalcogenide glasses (ChG),

typically alloys of group IV elements with those of group VI. Among the unique

properties of ChG materials is their ability to be photodoped. Photodoping

(photodiffusion) is characterized by the incorporation of metals (Ag, Cu) into the glass

upon exposure to light. During photodoping, the negatively charged chalcogen atoms and

positively charged metallic ions form intrinsic electric fields that are sufficient for the

metallic ions to overcome the barrier energy at the interface. The difference in

Page 24: Modeling and Simulation of the Programmable Metallization

11

electrochemical potentials facilitates the penetration of ions into the ChG materials

during exposure [48-50]. The relatively rigid covalent bonds mixed with soft van der

Waals interconnections enable the ChG materials to form traps where electrons,

generated by light or ionizing radiation, are absorbed [23]. As shown in Equation (2.1),

an initially twofold covalently bonded chalcogenide atom can turn into an anion,

possessing a single covalent bond and an excess electron [23, 51],

.102

CeC (2.1)

While there have been significant refinements to the photodoping process to optimize

it for use in current technology applications, several questions regarding the physics of

photodoping remain unsolved. For example, the precise role anions play in the photo-

induced diffusion of metal into GhG films is not well understood. Modeling chemical

reactions with carrier statistics enables standard semiconductor Poisson solvers to be used

in the analysis of photodoping as well as ion transport and RedOx processes. In order to

investigate the relationship between anion formation/ dissolution and the well-known

principles of device physics, an equivalence mapping between the chemical reaction

given in Equation (2.1) and the conventional semiconductor device equations is proposed

in this chapter, by treating the chalcogenide atom as an acceptor-like trap. The physical

model, which utilizes Shockley-Read statistics [52], is shown to be equivalent to anion

formation and dissolution reaction models under both equilibrium and non-equilibrium

steady state conditions (i.e., constant photogeneration). This model can be generalized to

all chemical reactions in which there are an electron and/or hole reaction with immobile

neutral and/or charged species. The model is verified by 2D Technology Computer-

Aided-Design (TCAD) simulations [53].

Page 25: Modeling and Simulation of the Programmable Metallization

12

2.2. Mathematical Model

TCAD simulations are performed with Silvaco’s ATLAS device simulator [53].

Unlike most device simulation tools, ATLAS is capable of simulating the transport

properties of the chemical reactions between a limited number of atomic species. The

transport properties for each species are primarily controlled by the diffusion coefficient

(D) which is defined as

),exp(2

kT

aEaD

(2.2)

where a is the average hopping distance, ν is the attempt (to escape) frequency, and Ea is

the activation energy for hopping. The parameters k and T are Boltzmann’s constant and

the material temperature, respectively. Because we are equating trapping statistics to

chemical reactions, and that traps generally do not move in a material, we assume that the

chalcogenide atoms (both neutral and charged) are immobile. Thus, the diffusion

coefficient for each species ( 02C , and

1C ) should be very small (D << 10-10

cm2/s). In

equilibrium, the forward reaction rate is equal to the reverse reaction rate in each

chemical reaction as follows:

).exp(

1

)exp(02

kT

arE

CrN

kT

afEn

CfN

(2.3)

In Equation (2.3), the left side of the equation is the forward reaction, where f is the

forward reaction rate, Eaf is the forward activation energy, 02C

N is 02C concentration, and n

is the electron concentration. The right side of Equation (2.3) is the reverse reaction,

where r is the reverse reaction rate, Ear is the activation energy and 1C

N is the

Page 26: Modeling and Simulation of the Programmable Metallization

13

concentration of 1C in Equation (2.1). Using standard carrier statistics, the ratio of

1C to

the total concentration of 1C + 0

2C is calculated as

),exp()exp(

102

1

kT

afEarE

kT

iEFnE

inr

f

CN

CN

CN

(2.4)

where EFn is the quasi-Fermi energy level for electrons and ni is the intrinsic carrier

concentration in the material. An acceptor-like trap is neutral when empty and negatively

charged when filled with an electron. Based on this definition, we may define the species

02C in Equation (2.1) as an acceptor-like trap. Donor-like traps can be used for the

complementary equation of Equation (2.1) ( eCC 102 ). The probability of ionization

of acceptor-like traps assumes that the capture cross sections are constant for all energies

and the ionization probability is calculated as [54]

,

pAenAeppnn

pAenntAF

PN

N

(2.5)

where σN and σP are the carrier capture cross sections for electrons and holes respectively,

and νn and νp are the thermal velocities for electrons and holes. For acceptor-like traps,

the electron and hole emission rates, enA and epA, are defined as

),exp(kT

iEtE

innDEGENnAe N

(2.6)

and

),exp(1

kT

tEiE

inpDEGENpAe P

(2.7)

where Ei is the intrinsic Fermi level, Et is the trap energy level, and DEGEN is the

degeneracy factor of the trap center. DEGEN takes into account the fact that spin

Page 27: Modeling and Simulation of the Programmable Metallization

14

degeneracy exists, and that empty and filled traps have different spin and orbital

degeneracies. To simplify the equations, we set DEGEN equal to 1. Since holes play no

role in the reaction described in Equation (2.1), we assume σP ˂˂ σN to eliminate hole

reactions from the statistical calculation. Without holes, the ionization probability of

acceptor-like traps is reduced to Equation (2.8), the standard Fermi-Dirac statistical form

[55],

.

)exp(1

1

kT

FnEtEtAF

(2.8)

Using the above equations, it is possible to define an acceptor-like trap that produces

an effect equivalent to the reaction presented in Equation (2.1). By setting Equations (2.4)

and (2.8) equal, the equivalent acceptor-like trap energy is found to be a function of the

forward and reverse reaction rates and activation energies of the chemical reaction, i.e.,

.)ln( arEafE

ifn

rkTtE (2.9)

It should be noted that in Equation (2.9), EFn is assumed without loss of generality to

be pinned to zero energy when no bias voltage is applied. The significant advantage of

Equation (2.9) is that it is applicable to both equilibrium and steady-state conditions. To

verify Equation (2.9), we use the numerical device simulator ATLAS to calculate

equilibrium and steady-state (non-equilibrium) solutions for a ChG material often used in

cation-based memristors. Figure 2.1 shows the 2D structure used for the simulations with

no bias applied to the device. The bulk material is a pure chalcogenide glass (Ge30Se70)

without any metallic dopants and the device anode and cathode terminals are defined for

simplicity as neutral contacts. The parameters of the Ge30Se70 material and parameters for

Page 28: Modeling and Simulation of the Programmable Metallization

15

the chemical reaction of Equation (2.1) are listed in Table 2.1 [56] and Table 2.2,

respectively. The length of the device, which corresponds to the distance between two

contacts, is 10 µm and its height/thickness is also 60 nm.

Figure 2.1: Cross-section of the ChG Simulation Structure, I.E., Ge30Se70 Material

Sandwiched Between Ohmic Anode and Cathode Contacts

Table 2.1: Parameters of Ge30Se70 Material [56]

Material Parameter for Ge30Se70 Value Bandgap (eV) 1.86 Affinity (eV) 3.05

Density of States in Conduction Band (per cc) 1×1019

Density of States in Valence Band (per cc) 1×10

20

Electron Mobility (cm2/Vs) 10

-5

Hole Mobility (cm2/Vs) 10

Dielectric Constant 40.9

Page 29: Modeling and Simulation of the Programmable Metallization

16

Table 2.2: Typical Parameters of the Chemical Reaction Based on Equation (2.1)

By substitution of parameters from Table 2.2 in Equation (2.9), the trap energy is

found to be 0.65 eV above EFn. As previously mentioned, the ATLAS code can solve not

only standard carrier statistics for solid state material, but chemical reactions similar to

those shown in Equation (2.1) between a limited number of species. This allows us to use

ATLAS to compare both methods and thereby validate the equivalence function,

Equation (2.9). We model the chemical reaction in one simulation with the initial 02C

concentration of 1020

cm-3

and a second simulation uses acceptor-like traps of equal

concentration with trap energies set by Equation (2.9) (specifically 0.65 eV above EFn).

Simulations are performed under equilibrium and non-equilibrium steady-state

conditions. For the non-equilibrium case, carrier generation is modeled with a virtual

light source. As mentioned above, exposure to light, specifically UV, is a common post-

processing manufacturing technique, used for some variants of ChG-based non-volatile

memories. For the steady state light exposure condition, a fixed generation rate (G) is set

to 2.72×1021

/cm3s which is consistent with what might be expected during exposure to

UV light at the power density of 10 mW/cm2.

Figure 2.2 plots 1C from the chemical reaction solver method and compares the

results to the concentration of filled acceptor-like traps calculated from the carrier-trap

statistics for both equilibrium and non-equilibrium steady-state (photogeneration). As

Parameter Value

f 1

r 8×1019

Eaf 0.7 eV

Ear 1 eV

Page 30: Modeling and Simulation of the Programmable Metallization

17

seen in Figure 2.2, there is an excellent agreement between the chemical reaction results

and those modeled with traps. The sensitivity of the filled trap concentration to the trap

energy is shown in Figure 2.3. As shown in this figure, the concentration on a log scale is

linearly dependent to the trap energy with constant slope of 1/[log(e)kT], which can be

derived from Equation (2.8). (e is the Euler’s constant)

Figure 2.2: The Concentration Vs Filled Trap Concentration in the Equilibrium and

Steady-state (Photogeneration)

Figure 2.3: Filled Trap Concentration Vs Trap Energy in the Equilibrium. The Middle

Point (Trap Energy of 0.65 eV) is Obtained from Chemical Reaction Simulation

Page 31: Modeling and Simulation of the Programmable Metallization

18

2.3. Conclusion

An accurate mathematical function that relates the parameters of anion formation/

dissolution reactions to the energies of equivalent acceptor-like traps was derived in this

chapter. This is done by equating the anion reaction in equilibrium (Equation (2.4)) to the

conventional carrier statistics captured by the Fermi-Dirac equation (Equation (2.8)).

Through device simulation, it is verified that this equivalence relation can be accurately

extended to the non-equilibrium steady state relationship while it is independent of the

parameters of a typical photogeneration source. Therefore, it enables us to model the

impact of the reaction species in ChG materials under both equilibrium and steady state

conditions that exist during photogeneration. This equivalence can be used to simply

model anion reactions using standard TCAD tools. The model may be easily extended to

other chemical reactions including positively charged species and/or holes.

Page 32: Modeling and Simulation of the Programmable Metallization

19

3. A PHYSICAL-BASED SIMULATION FOR THE DYNAMIC BEHAVIOR OF

PHOTODOPING MECHANISM IN THE CHALCOGENIDE MATERIALS USED

IN THE LATERAL PROGRAMMABLE METALLIZATION CELLS

3.1. Introduction

Alloys of group IV elements (such as Ge) with those of group VI (chalcogens such as

Se) are able to form chalcogenide glasses (ChGs) [47]. Among the unique properties of

ChG materials is their ability to be photodoped. The process of photodoping is

characterized by the incorporation of active metal species (e.g., Ag) into glass upon

exposure to light. Today, photodoping is used in the fabrication of novel memory

technologies [10, 57], specifically Programmable Metallization Cells (PMCs) [9] and

their commercial variant Conductive Bridge Random Access Memory (CBRAM). The

PMC represents one type of resistive switching random access memory (RRAM) and is

one of the leading candidates for replacing Flash memory in the next generation of low

power and high density non-volatile memory [1-5, 58]. Advantages associated with PMC

technology are its ultra-low power operation, scalability, and ease of integration into

back-end-line (BEOL) CMOS processes [59].

While there have been significant refinements in the photodoping process to optimize

it for use in RRAM manufacturing, several questions regarding the physics of

photodoping remain unsolved. For example, the electrochemistry of photodoping is still

not fully understood and accurate physically-based models for the process remain

illusive. In this chapter, physical simulations for the photodoping process used in the

fabrication of PMCs are presented. Although, conventional PMCs consist of thin layer of

Page 33: Modeling and Simulation of the Programmable Metallization

20

ChG materials (e.g., GeSe, GeS) vertically sandwiched between an active anode (such as

Ag and Cu) and an inert cathode (such as Ni), this study utilizes a lateral PMC device in

order to properly understand the mechanism of the photodoping process. One of the main

lateral PMC applications is it’s usage as a switch in microwave systems. Advantages of

lateral PMCs over conventional vertical ones include low insertion loss, high isolation,

low voltage operation, low power consumption, and excellent linearity [60].

By applying a positive voltage to the anode, an electrochemical reaction happens at

the anode side where silver ions are generated. The ions migrate across the chalcogenide

layer and are deposited at the cathode. A metal conductive filament forms a bridge

between cathode and anode contact, and shunts the high resistance electrolyte; therefore,

the device switches from a high resistance state (HRS) to a low resistance state (LRS).

After applying reverse bias, the conductive filament tends to dissolve and the device

returns to HRS. The mechanisms for resistive switching in PMCs are related to the

formation or dissolution of conductive filaments within the glass that bridge two contacts

[5, 9, 61].

The kinetics of silver ions through ChGs is modeled by the Mott-Gurney hopping

mechanism [17], while the Butler-Volmer equation demonstrates the electrode Redox

[62]. Moreover, electron tunneling between adjacent impurity sites is an alternate theory

to Mott-Gurney ion hopping [63]. In addition, there are several mechanisms mapping the

electron flow in the chalcogenide layer as follow: band conduction in the extended states

[64], Mott’s T1/4

variable range hopping [64], Schottky thermionic emission [65], Poole-

Frenkel emission [66], and Fowler-Nordheim tunneling [67-68]. The process of band

conduction in the extended state in ChGs is similar to carrier motions between

Page 34: Modeling and Simulation of the Programmable Metallization

21

conduction band and valence band in crystalline semiconductors. Mott’s T1/4

variable

range hopping is a process in which electrons hop between localized states in the

forbidden energy band, and it dominates at low temperatures. Schottky emission is the

process of electron emission from an electrode to the conduction band of ChGs by

gaining enough energy. Poole-Frankel emission takes place under high electric field

where the decrease of the energy barrier of traps with field enables electrons to jump to

the next trap state. In the Fowler-Nordheim tunneling mechanism, electrons tunnel across

a triangular quantum barrier into the conduction band of thin ChGs at high electric fields.

On the experimental side, there are also several interesting articles dedicated to the

investigation of the charge transfer in ChG materials, while taking into account the

interaction between ionic species and ChG bonds, with the emphasis on the CBRAM and

electrochemical metallization memory applications [69-72].

The physical simulation in this chapter captures the light-induced release and

transport of the active metal (Ag) into the ChG (Ge30Se70) with a two-dimensional drift-

diffusion (flux-based) solver. Self-consistent transient solutions to a parameterizable set

of differential equations that model ion reactions, transport, and photogeneration in the

ChG film are obtained from numerical finite element simulations in virtual structures.

The structures are two dimensional representations of lateral PMCs, that are either

unphotodoped or photodoped (i.e., exposed to UV light). Simulation results are presented

and analyzed in Section 3.2 and conclusion is reported in Section 3.3.

Page 35: Modeling and Simulation of the Programmable Metallization

22

3.2. Physical-Based Simulation

In order to develop physical-based numerical simulations of the photodoping process,

material parameters for the device must be set. The work functions of the Ag and Ni

contacts obtained from the literature are 4.29 and 5.15 eV, respectively. Defining the

work function value for each contact means that the contacts are Schottky type contacts.

Table 3.1 lists additional parameters associated with the Ge30Se70 film, which include

bandgap (Eg), electron affinity (Φ), density of states in the conduction band and valence

bands (NC and NV), electron and hole mobilities (µn and µp), and the dielectric constant

[56]. In order to better visualize the band structure, Figure 3.1 shows the bandgap and

electron affinity of the ChG as well as the contacts’ workfunctions. The intrinsic carrier

concentration (ni) of ChG material is calculated based on Equation (3.1):

).2

exp(kT

gE

VNCNin (3.1)

Table 3.1: The Parameters of the Ge30Se70 as ChG Material

Bandgap at 300 K (Eg) [eV] 1.86

Affinity (Φ) [eV] 3.05

Density of states in conduction band (NC) [per cc] 1019

Density of states in valence band (NV) [per cc] 1020

Electron mobility (µn) [cm2/Vs] 10

-5

Hole mobility (µp) [cm2/Vs] 10

Dielectric constant 40.9

Because the intrinsic Fermi level of the ChG is higher than workfunctions of both

contacts based on red arrows in Figure 3.1, there are several holes without any electron in

Page 36: Modeling and Simulation of the Programmable Metallization

23

the system, like a p+-type semiconductor [47]. Because of higher difference between the

intrinsic Fermi level and Ni workfunction, the hole concentration at the interface between

the ChG and the cathode is much higher than that of at the interface between the ChG and

the anode.

Figure 3.2 represents the device schematic in which the length and height of the

device are 10 µm and 60 nm, respectively. The anode (Ag) and cathode (Ni) are 1 µm

long and 20 nm in height at the left and right sides of the structure, respectively. In order

to show simulation results, a cutline across the device from left to right as shown in

Figure 3.2 is used. In favor of making simulations realistic, a Ag concentration of 1023

cm-3

is assumed in the anode (see Figure 3.2) equal to the electron concentration of the

silver contact, which is calculated based on Equation (3.2):

).2/3

3

2(

3

2/328FE

h

mn

(3.2)

Figure 3.1: The Band Diagram of the System (Ag/ ChG/ Ni) Before Connection

Page 37: Modeling and Simulation of the Programmable Metallization

24

Figure 3.2: Cross-section Schematic of the Simulation Structure

In Equation (3.2), h is Planck’s constant, m is the electron mass, and EF is the Fermi

energy which is equal to the metal workfunction (4.29 eV). By substituting values of the

parameters in Equation (3.2), the Ag concentration is around 1023

cm-3

. The photodoping

simulation, utilizing Silvaco’s ATLAS device simulator [53], is based on the reaction

expressed in Equation (3.3), which is the standard reduction-oxidation (RedOx) reaction

for active metals in ChG films. Neutral Ag atoms have a tendency to lose one electron,

because the highest energy of the atomic valence state is above the conduction band edge

of the ChG material. Therefore; Ag atoms are readily ionized at the anode/ChG interface

and the forward activation energy (0.5 eV) is assumed smaller than the reverse activation

energy (0.7 eV). In Equation (3.3), the forward and reverse rate constants are assumed to

be unity. Due to the higher diffusivity of Ag+ as well as their capacity to drift in the

presence of a local electric field, silver ions will transport into the films within several

Page 38: Modeling and Simulation of the Programmable Metallization

25

monolayers of the Ag-ChG interface. The diffusivity (D) of each species is calculated

with Equation (3.4), where a is the average hopping distance, ν is the attempt to escape

frequency, EA is the activation energy for hopping, k is Boltzmann’s constant, and T is the

material temperature. EA can be directly obtained from atomistic calculations using the

nudged elastic band method [73]. This method is not an exact technique but is robust in

yielding a complete reaction path along with the activation energy. The diffusivity

parameters for Ag and Ag+ are listed in Table 3.2. The relation of the mobility (µ) and D

is based on the Einstein relation presented in Equation (3.5). Based on the values of the

diffusivity and mobility, the Ag species is essentially immobile in the glass film.

Table 3.2: The Values of Diffusivity Parameters for Ag and Ag+ Based on Equation (3.2)

Ag Ag+

EA [eV] 1.5 0.5

ν [Hz] 1013

1013

a [cm] 3.16×10-15

3.16×10-8

D [cm2/s] 6.31×10

-42 3.98×10

-11

Mobility [cm2/v.s] 2.44×10

-40 1.54×10

-9

. eAgAg (3.3)

).exp(2

kT

AEaD

(3.4)

.kTD (3.5)

In order to calculate the electrical characteristics of the structure, the finite elements

code used in this study to simultaneously solve the standard statistics and transport

equations for free carriers in the ChG material, and also performs ion transport and

Page 39: Modeling and Simulation of the Programmable Metallization

26

reaction calculations [53]. The continuity equations for the model are analytically

expressed as follows:

,

AgAgAgAg

GRFdt

dN (3.6)

,AgAgAgAg

GRFdt

dN (3.7)

and

,nnn GRFdt

dn (3.8)

where NAg+ (FAg+), NAg (FAg), and n (Fn) are the concentration (flux) of Ag+ ions, neutral

Ag atoms, and electrons, respectively. In order to set the boundary conditions,

homogeneous Neumann boundary conditions are taken into account along the edges of

the device so that the current flow can only reflect out of the device through the contacts.

In these boundary conditions, the normal electric field component becomes zero in the

absence of surface charge along such edges. Moreover, the difference between the normal

components of the respective electric displacements must be equal to any surface charge

between two different materials. The flux for each species is shown in the following set

of equations:

),(

AgAg

AgAg NkT

qNDF

(3.9)

,AgAg NDAgF (3.10)

and

),( nkT

qnDnF

(3.11)

Page 40: Modeling and Simulation of the Programmable Metallization

27

where DAg+, DAg, and Dn are the diffusion constants calculated based on Equation (3.4)

for Ag+ ions, neutral Ag, and electrons, respectively. In Equations (3.9)-(3.11), is the

potential within the simulated ChG film.

To simulate the photodoping process, the device presented in Figure 3.3 is

illuminated by UV light. For the UV exposure condition, the power density (P) of the

light with wavelength (λ) of 324 nm is 10 mW/cm2. Equations (3.12) and (3.13) show the

calculated photon flux ( p : the number of created photons per area per second) and

generation rate (G: the number of generated electron-hole pairs per volume per second)

respectively, if each photon creates one electron-hole pair:

,hc

Pp

(3.12)

and

.htDeviceHeig

pG

(3.13)

Based on Equation (3.13), the generation rate of electron-hole pairs (G) for the

photodoped case is equal to 1.63×1021

/cm2s. To make the generation and recombination

of carriers in the system realistic, the Shockley-Read-Hall (SRH) recombination model is

activated. On the other hand, the generation rates of Ag ions (GAg+) and Ag atoms (GAg)

are calculated based on Equations (3.14) and (3.15), respectively:

),exp(kT

afE

AgfNAg

G

(3.14)

and

).exp(kT

arEn

AgrNAgG

(3.15)

Page 41: Modeling and Simulation of the Programmable Metallization

28

Equation (3.14) is derived from the forward reaction of Equation (3.3) while Equation

(3.15) is based on the reverse reaction of Equation (3.3). In Equation (3.14), f (equals to 1

for simplicity) is the forward reaction rate, Eaf (equals to 0.5 eV) is the forward activation

energy, and NAg is the Ag concentration while in Equation (3.15), r (equals to 1 for

simplicity) is the reverse reaction rate, Ear (equals to 0.7 eV) is the reverse activation

energy, n is the electron concentration and NAg+ is the Ag

+ concentration.

The unphotodoped case occurs when the simulation runs without any photodoping

source, and enough time is taken to reach the equilibrium state in which the results are

fixed and independent of the running time. Then, the equilibrium structure is exposed to a

UV light source with the aforementioned parameters for a finite time (4000 s), and then it

is removed from the structure. After sufficient time, the system returns backs to its

equilibrium condition, the so called photodoped case. Because the cathode has higher

workfunction than that of anode, there is an intrinsic electric field from cathode toward

the anode. Moreover, Ag atoms are oxidized at the anode contact, and try to transport

against this intrinsic electric field from cathode to anode primarily via a diffusion process

through the glass as cations. As shown in Figure 3.3, Ag atoms do not have enough

chance to be reduced at the interface with cathode in the unphotodoped case. UV light

exposure increases the electron concentration while the forward and reverse activation

energies (0.5 eV and 0.7 eV) of Equation (3.2) are in the same range. Therefore, the

possibility of the reverse reaction of Equation (3.2) increases. Moreover, the

photogenerated electrons move against the intrinsic electric field which is directed from

the cathode to the anode, and thus electrons accumulate at the cathode side, and

consequently Ag+ ions can be reduced at the interface with the cathode and create an Ag

Page 42: Modeling and Simulation of the Programmable Metallization

29

conductive filament from cathode side as shown in Figures 3.3 and 3.4, which represents

the Ag distribution in the device for both the unphotodoped and photodoped cases. As

shown in Figure 3.4(a), the Ag concentration near to cathode is very low for the

unphotodoped case while Figure 3.4(b) demonstrates Ag conductive filament growing

from the cathode side.

Figure 3.3: Ag Profile Across the Cutline for Both the Unphotodoped and Photodoped

Cases

(a) (b)

Figure 3.4: Ag Distribution for (a) the Unphotodoped Case and (b) the Photodoped Case

Page 43: Modeling and Simulation of the Programmable Metallization

30

The electric field profile across the lateral distance is shown in Figure 3.5, where the

behavior associated with the so called double-layer capacitance is observable. The

double-layer capacitance stores energy through charge accumulation at the electrode-

electrolyte interface layers via an induced polarization. The carrier concentrations and

electron distribution for both the unphotodoped and photodoped cases are represented in

Figures 3.6 and 3.7, respectively. As discussed before, the workfucntions of the two

contacts are below Ei, and therefore there are not any electrons in the unphotodoped case.

Because of the higher workfunction of the cathode (5.15 eV) compared to the anode

workfunction (4.29 eV), the hole concentration is much higher at the cathode interface,

and decreases towards the anode contact as shown in Figure 3.6. One the other hand,

there is not any electron in the system in the unphotodoped case as shown in Figure

3.7(a), while Figures 3.6 and 3.7(b) show that the photodoping process increases the

electron concentration.

Figure 3.5: The Electric Field Profile Across the Cutline Which is the Same for Both the

Unphotodoped and Photodoped cases

Page 44: Modeling and Simulation of the Programmable Metallization

31

Figure 3.6: Carrier Concentrations Across the Device from the Anode Contact to the

Cathode Contact for Both the Unphotodoped and Photodoped Cases

(a) (b)

Figure 3.7: Electron Distribution for (a) the Unphotodoped Case and (b) the Photodoped

Case

Finally, the band diagrams of the device for both the unphotodoped and photodoped

cases are exhibited in Figure 3.8. As shown in Figure 3.8(a), the electron quasi-Fermi

level (QFL) is far below the conduction band, especially at the cathode side. Thus, Figure

Page 45: Modeling and Simulation of the Programmable Metallization

32

3.8 shows that there are not any electrons in the system prior to the photodoping process.

The photodoping process helps electrons push their QFL upward, and therefore increases

the electron concentration that was previously shown in Figures 3.6 and 3.7. Moreover,

the hole QFL does not change with photodoping as shown in Figure 3.8 because the hole

concentration created by photodoping is smaller than the intrinsic hole concentration of

the system. This behavior is the so called pinning of the hole QFL, that can be explained

by the valence alternation model [51]. The interactions between lone pair electrons from

Ag atoms (see Equation (3.3)) and chalcogen atoms from one side and their interactions

with their local environment from the other side, result in localized states in the gap of

the ChGs [74-75]. These interactions between nonbonding orbitals cause unusual boding

configurations, the so called valence alternation pairs [51]. In the case of Ge30Se70, the

consequence of valence alternation centers results in several accepter-like traps where

electrons are absorbed, thus Ge30Se70 is like a p+-type semiconductor in which the hole

concentration is dominant and the hole QFL is pinned at a fixed point and is not

dependent on the photodoping process [47].

3.3. Conclusion

A dynamic physical-based simulation for the photodoping process in lateral PMC

RRAM materials is proposed. Ge30Se70 materials were used as ChG glasses with Ag

anode and Ni cathode contacts. As verified by simulation, the photodoping process

produced several electron-hole pairs in the system, where the photogenerated electrons

Page 46: Modeling and Simulation of the Programmable Metallization

33

reacted with Ag+ ions to create a conductive filament near the cathode interface, resulting

in switching behavior.

(a)

(b)

Figure 3.8: The Band Diagram of the Device Across the Device from Anode to Cathode

for (a) the Unphotodoped Case and (b) the Photodoped case

Page 47: Modeling and Simulation of the Programmable Metallization

34

4. CARRIER MOBILITY EXTRACTION METHOD IN CHALCOGENIDE

GLASSES IN THE UV LIGHT EXPOSURE

4.1. Introduction

As a promising candidate for the next generation of low power and high density non-

volatile memories, programmable metallization cells (PMCs), commercially known as

conductive bridge random access memory (CBRAM), have attracted great interest [1-2,

47, 56]. PMCs are compatible with standard CMOS, readily integrated into the back-end-

of-line (BEOL) process, scalable, and manufacturable [4, 76]. Through the

electrochemical control of the nanoscale quantities of metal in thin films of chalcogenide

glass (ChG) solid electrolytes, PMCs switch between a low resistance state (LRS) and a

high resistance state (HRS). Because of the mixture of relatively rigid covalent bonds and

soft van der Waals interconnections, ChG materials enable to form acceptor-like traps in

which generated electrons by light or ionizing radiation are captured [77-78]. Because of

several acceptor-like traps in ChG materials, electrons are considered as minority carriers

with reduced mobility compared to crystallized structures. The behavior of both electrons

and holes in ChG materials is not clearly understood. Therefore, knowing their time

constant as one of their main characteristics is essential.

In this chapter, Silvaco’s ATLAS [53] simulations in order to investigate the UV light

exposure effect on the time constant of Ge30Se70 as the ChG material is utilized. From the

time constant values in both cases, with and without UV light exposure, the carrier

mobility can be extracted. This method can be generalized to other ChG materials as well

Page 48: Modeling and Simulation of the Programmable Metallization

35

as other light sources or radiation particles. Section 4.2 describes the circuit setup and

demonstrates TCAD simulation results followed by conclusions in Section 4.3.

4.2. Circuit Setup and TCAD Simulation

In this section, the UV light exposure effect on the time constant of Ge30Se70 is

investigated. As shown in Figure 4.1, the investigated structure involves a thin film of

Ge30Se70 with a thickness of 100 nm sandwiched between two Ni contacts, while the

cross-section area is 10 µm (height) by 1µm (width). The parameters of the Ge30Se70

material are listed in Table 4.1, where they are extracted from experimental data [56].

Figure 4.1: Cross-section of the Ge30Se70 Structure Sandwiched Between Ni Anode and

Cathode Contacts. All Dimensions are in µm.

In order to obtain the time constant of the Ni/ChG/Ni system, Silvaco’s ATLAS

mixed mode simulation enables us to propose a circuit setup shown in Figure 4.2, where

a voltage controlled switch is used. As shown in Table 4.2, the parameters of the voltage

Page 49: Modeling and Simulation of the Programmable Metallization

36

controlled switch are chosen to behave as a quasi-ideal switch. First, the switch is in the

ON state and a DC voltage of 2 V is applied to the system. When the system is in

equilibrium conditions, the switch turns to the OFF state, and the decay in the voltage

across the device is tracked. If we apply a UV light with a fixed generation rate to the

system, several electron-hole pairs (EHPs) are generated. These EHPs enable to decrease

an intrinsic electric field in the system, and thus the time constant eventually reduces.

Figure 4.3 verifies our hypothesis in which the time constant reduces by generating

EHPs.

Table 4.1: Parameters of Ge30Se70 Material

Material Parameter for Ge30Se70 Value

Bandgap (eV) 1.86

Affinity (eV) 3.05

Density of States in Conduction Band (NC) (per cc) 1019

Density of States in Valence Band (NV) (per cc) 1020

Dielectric Constant 40.9

Figure 4.2: The Circuit Setup with a Voltage Controlled Switch in Order to Obtain the

Time Constant of the Ni/ChG/Ni Arrangement

Page 50: Modeling and Simulation of the Programmable Metallization

37

Table 4.2: Parameters of the Voltage Controlled Switch

Parameter Value

ON state resistance (Ω) 10-3

OFF state resistance (Ω) 1050

Controlling voltage to switch (V) 10-3

Density of States in Valence Band (per cc) 1×1020

[56]

Rise time (ns) 1

Fall time (ns) 1

Figure 4.3: The Voltage Drop Across the Device Without and with UV Light Exposure

In this section, the decrease of the time constant by applying UV light is

mathematically analyzed. Equations (4.1) and (4.2) give the resistivity and capacitance

of the device, respectively, where n and p are the carrier concentrations, µn and µp are

carrier mobilities, ε0 and εr are the permittivity in air and relative permittivity;

respectively, and A and L are the cross-sectional area and distance between two contacts

respectively:

Page 51: Modeling and Simulation of the Programmable Metallization

38

,)(

1

ppnnq

(4.1)

and

.0

L

ArC

(4.2)

On the other hand the time constant can be calculated based on Equation (4.3):

)(

000

ppnnq

rrL

ArA

LRC

(4.3)

It is worth mentioning that based on Equation (4.3), the time constant is independent

of the dimensions of the device. In the case without UV light, the electron concentration

is negligible compared to the hole concentration due to accepter-like traps, therefore:

pqp

r

0 (4.4)

In order to extract the hole mobility from Equation (4.4), it is essential to know the

hole concentrations. Because of the nature of ChG materials, the hole concentration and

it’s quasi Fermi level do not change with photodoping [51, 78]. This behavior is called

pinning of the hole quasi Fermi level at the valence band edge, and can be explained by

valence alternation model [51]. Therefore, the hole concentration in the equilibrium

condition is calculated based on Equation (4.5):

,)exp()2

exp( VNCNkT

fEiE

kT

gE

VNCNp

(4.5)

where NC and NV (see Table I) are the density of state in conduction and valence bands,

respectively. Eg, Ei, and Ef are the band gap of the material, intrinsic energy level, and

Page 52: Modeling and Simulation of the Programmable Metallization

39

quasi Fermi level for holes, respectively. Because of pinning of the hole quasi Fermi level

at the valence band edge, fi EE is equal to Eg/2, thus the hole concentration is equal

to VC NN (3.16×1019

cm-3

). By substituting the value of the hole concentration in

Equation (4.4), the hole mobility is extracted as 4.11×10-8

cm2/Vs. When UV light is

applied, the electron concentration increases and for high enough EHP generation rates,

the electron concentration is comparable with the hole concentration. If we assume the

electron concentration in the UV light exposure is equal to the hole concentration, the

electron mobility can be extracted from Equation (4.3), where the time constant can be

extracted from experimental data with the setup of Figure 4.2.

4.3. Conclusion

Programmable Metallization Cells (PMCs) are novel non-volatile resistive memory

structures which are the basis for commercial CBRAM devices. The memory state of a

PMC is dictated by the formation or dissolution of an Ag metallic filament in an Ag

doped chalcogenide glass (Ge30Se70) film between active metal (Ag) and inert metal (Ni)

contacts. Because of the relatively rigid covalent bonds mixed with soft van der Waals

interconnections, the chalcogenide glass is able to form traps where electrons are

absorbed and therefore; electrons are considered as minority carriers and their mobility

significantly decreases compared to crystallized structures. The role of carriers in the

interaction with ionic species by the formation and dissolution of the metallic filament in

the chalcogenide glasses is inevitable. Therefore, knowing the carriers’ characteristics in

the chalcogenide glass is essential. This study proposed a method to extract the time

Page 53: Modeling and Simulation of the Programmable Metallization

40

constant of ChG materials which enables us to determine the carriers’ mobility without

and with the UV light exposure. In order to make the method realistic, the results of

TCAD simulations were also presented in the chapter.

Page 54: Modeling and Simulation of the Programmable Metallization

41

5. A PHYSICALLY-BASED PREDICTIVE MODEL FOR SINGLE EVENT

TRANSIENTS IN CMOS GATES

5.1. Introduction

The passage of an ionizing particle through sensitive regions of logic gates such as

CMOS inverters can generate voltage spikes at the circuit’s output. Such spikes can lead

to various deleterious effects including unwanted transients in combinational logic and

bit errors in static random access memory (SRAM) cells [79]. Both drift and diffusion

mechanisms participate in the charge collection processes that convert radiation-induced

photo-currents into voltage transients [80]. The deposited charge created by an ionizing

particle can generate voltage transients at the CMOS gate’s output node, leading to a

change in the logic state. The resulting single event transient (SET) may propagate

through logic circuitry leading to single event upsets (SEUs). A typical set of voltage

transient waveforms at the end of a 10-stage inverter chain is shown in Figure 5.1. These

transients were obtained from circuit simulations that model the effect of heavy ion

strikes, with linear energy transfers (LETs) ranging from 1 to 30 MeV-cm2/mg, to the

NMOS transistor in the first inverter of the chain [81].

The structure and duration of the SET voltage pulse caused by a strike to the NMOS

transistor of a CMOS inverter has been characterized extensively with experimental data

and TCAD simulations [79, 82-89]. By contrast, only a few physics-based analytical

models, like the one presented in this chapter, have been proposed. SPICE models for the

single event effects (SEE) behavior were presented in [90-91]. A BISIM4 transistor

model capable of accurately simulating single event transients in CMOS circuits was

Page 55: Modeling and Simulation of the Programmable Metallization

42

demonstrated in [92]. A technique for estimating the characteristics of SET voltage

pulses in VLSI circuits was proposed in [93]. A physics-based analytical model for SETs

in SOI technologies was presented and compared to experimental data in [94].

Figure 5.1: Simulated Drain Voltage Transients for Different LETs in the Struck NMOS

Transistor of a 10-inverter Delay Chain Fabricated in 0.18 µm Bulk CMOS Technology

[81]

In this study, an analytical model is presented which accurately captures key physical

properties of SETs in bulk CMOS inverters. A unique feature of our model compared to

the referenced models, which motivates us to develop this model, is that an ionizing

strike with sufficiently high linear energy transfer (LET) affects the intrinsic parameters

of bulk CMOS inverters such as capacitance and saturation current constant. For the first

time to our knowledge, this study investigates the effects of ionizing photocurrents on the

capacitance and saturation current constant in close-form equations toward extraction of

the time duration. These equations not only enable us to predict responses of the system

in a wide range of ionizing photocurrent values, but also make us free from time-

consuming heavily-budgeted computational simulations, e.g., TCAD simulations. The

proposed model also takes into account the nonlinearity effects of the PN junction

Page 56: Modeling and Simulation of the Programmable Metallization

43

between the drain and body of the OFF-state NMOS in CMOS inverters. Because of the

closed-form equations, the analytical model also can be easily integrated into large-scale

systems as either Verilog functions or as equivalent circuits. Some previous models [92,

94] have this capability of easy integration into large-scale systems, but none of them

tracks how the ionizing photocurrent changes the capacitance and saturation current

constant. The model is verified with 3D mixed-mode device simulations using Silvaco’s

ATLAS and Victory Cell simulation tools, where standard carrier statistics and transport

equations are self-consistently solved [53, 95]. The remaining sections of this work are

arranged as follows: Section 5.2 describes the circuit and simulation setup. A validation

of the analytical model through comparisons to 3D mixed-mode device simulation is

presented in Section 5.3. Finally, Section 5.4 presents the conclusion.

5.2. Equivalent Circuit Model and Transient Response

Figure 5.2(a) illustrates the schematic of a standard CMOS inverter. Under normal

conditions, prior to an ion strike, when the inverter input is grounded, the output voltage

(Vout) is fixed to the power supply voltage (VDD). Therefore, the NMOS transistor is in the

OFF-state and the PMOS transistor is in the ON-state. When an ionizing particle strikes

through the drain-body junction of the OFF-state NMOS device, a photocurrent pulse, Ipc,

flows from the n-type drain to p-type body. As shown in Figure 5.2(b) this current source

runs parallel to the ideal diode (D) and intrinsic capacitance (C) of the drain-body

junction. If Ipc is large, i.e., the ion has sufficiently high LET, Vout can drop to a negative

voltage, due to the passage of current, IR, through the PMOS load (R) and current, IC,

Page 57: Modeling and Simulation of the Programmable Metallization

44

onto the capacitor. Under this high charge injection condition, the junction becomes

forward biased, leading to a fourth current component in the system, the diode current

(ID). Figure 5.2(b) therefore represents a simplified large signal equivalent circuit of the

CMOS inverter output during an ion strike. The model presented in this chapter predicts

the time duration of the output voltage transient in this worst case, high injection

condition, by solving the current equations of the equivalent circuit across three time

segments.

(a) (b) (c)

Figure 5.2: a) An Inverter with a Load Capacitor Exposed to Ionizing Particles, b) the

Equivalent Circuit Representing Diode (D), Resistance (R) and Load Capacitance (C). Ipc

Represents the Injected Current by the Ion Strike and c) the Diode Schematic

In order to validate the physical accuracy of the proposed circuit-level modeling

approach, 3D TCAD ion strike simulations are performed on a PN junction representative

of the drain-body junction of a bulk NMOS transistor (see Figure 5.2(c)). The ion strike

simulation capability of the device simulators (Silvaco’s ATLAS and Victory Cell

simulation tools) enables the user to specify the radial, length, and time dependence of

charge generated along tracks. The ion track is cylindrical, with specified entry and exit

Page 58: Modeling and Simulation of the Programmable Metallization

45

points. Electron-hole pairs at mesh points are generated as a function of time and the

radial distance from the center of the track. The ionizing particle is described by its linear

charge deposition (LCD), which is defined as the actual charge deposited in units of

pC/µm. The LCD value is related to the ion linear energy transfer (LET). LET is specific

to ion type, energy, and absorbing material, which is silicon for our purposes. Physical

models used in the TCAD simulations include carrier concentration-dependent Shockley-

Read-Hall recombination, Auger recombination, bandgap narrowing, and doping and

electric field dependent mobility. These models are added in order to make the

simulations more realistic by taking into account the dependencies of the mobility and

recombination to carrier concentration and electric field.

The heavy ion strike is applied by generating carriers along a path of normal

incidence through the drain body junction. The spatial distribution of carriers is set

constant along the track length, and assumes an exponential radial distribution with a

fixed characteristic radius of 0.1 µm [81]. A Gaussian temporal profile for the carrier

generation rate is centered at 10 ps (T0), with a characteristic time (Δt) of 2 ps. The

vertical length of the n-drain and p-body of the TCAD structure are 0.1 µm and 4.9 µm,

respectively. Also, the cross-sectional area of the diode is 0.25µm×0.25µm. The doping

concentration of the n-drain is 2×1020

cm-3

and the p-body is 1016

cm-3

.

It is worthwhile to mention that there is not any embedded model for ionizing

particles in Silvaco’s ATLAS platform. It just meshes a system and solves

simultaneously standard carrier statistics and transport equations self-consistently. The

effects of ionizing particles are considered in the aforementioned equations for each mesh

Page 59: Modeling and Simulation of the Programmable Metallization

46

point based on the drift-diffusion mechanism. Therefore, Silvaco’s ATLAS platform just

considers the roles of ionizing particles in the standard carrier statistics and transport

equations, while it is not able to tell us the close-form relations between an ionizing

photocurrent and responses of the system.

Figure 5.3 plots the output voltage transient computed by mixed mode TCAD

simulations for different values of resistive loads, from 10 kΩ to 100 kΩ. In Figure 5.3,

the LET for the ion is chosen to be 10 MeV/(mg/cm²). This corresponds to a linear charge

deposition (LCD) value in silicon of approximately 0.1 pC/µm. The loads are modeled as

lumped resistors (the same as R on Figure 5.2(b)) between the TCAD structure drain and

VDD. For the same LET (10 MeV/(mg/cm²)), Figure 5.4 plots the current transient through

the resistor. Figures 5.3 and 5.4 represent the characteristic behavior of a CMOS

inverter’s voltage output and NMOS drain current, respectively, when a high LET

particle strikes through the drain-body region of the OFF-state n-channel transistor [96-

97]. A “plateau” is clearly visible when Vout (IR) does not significantly change around the

lowest (highest) point of Vout (IR). The time duration (T) is defined as the pulse width of

the “plateau” and is one of the most important variables in an ion strike since it

determines the SET propagation probability [98]. As can be observed in Figures 5.3 and

5.4, T increases with increasing resistance value. By comparing Figures 5.3 and 5.4, an

increase in the resistive load corresponds to a decrease in IR as well as a slight increase in

the magnitude of the output voltage transient. It can also be observed that the higher

resistance corresponds to a wider pulse particularly over the time duration of the plateau.

Page 60: Modeling and Simulation of the Programmable Metallization

47

Figure 5.3: Output Voltage, Vout, Vs Time with Different Resistance Values During

Mixed Mode TCAD SET Simulation

Figure 5.4: The Resistance Current, IR, Vs Time with Different Resistance Values During

Mixed Mode TCAD SET Simulation

5.3. Analytical Model

When the ionizing particle penetrates through the junction, electron-hole pairs can be

rapidly collected in the drain (electrons) and body (holes), inducing an increase of the

transient current through the structure. The high field in a reverse-biased junction

depletion region can very efficiently collect these charges through drift/diffusion

Page 61: Modeling and Simulation of the Programmable Metallization

48

processes, leading to a transient junction photocurrent (Ipc in Figure 5.2(b)). A typical

output voltage response is depicted in Figure 5.5. It should be noted that the model

considers only the drain-body junction of the NMOS, which is to first order, independent

of channel length. This consideration is reasonable because both the source and body

contacts are grounded, and therefore the channel length does not have a significant effect.

In order to take into account short channel effects, the drain-body junction which is

represented as a diode should be considered as a short diode because the drain and body

regions are scaled down with the channel length scaling. The proposed model analyzes

the voltage transient in three different temporal regions, the short time region (I), the

plateau region (II), and the recovery region (III). In this section, an analysis of the current

components based on the large signal equivalent model (Figure 5.2(b)) is presented for

each of these regions.

Figure 5.5: Typical Output Voltage (Vout) Vs Time During SET Simulation

Page 62: Modeling and Simulation of the Programmable Metallization

49

5.3.1. Region I

Prior to the ion strike, Vout is equal to VDD and all the currents, Ipc, IC, IR, and ID

(shown on Figure 5.2(b)) are negligibly small. Once the ionizing particle strikes the

NMOS device through its drain-body junction, the output node, Vout starts to decrease. At

this point, the capacitance current, IC, drops rapidly to a negative value, essentially

tracking the photocurrent, Ipc. The load resistance current, IR, increases linearly with the

reduction in Vout. As discussed in the previous section, for a large LET particle, Vout may

drop to negative values, forward biasing the drain-body junction, causing ID to increase

exponentially. Near to the end of Region I, IC approaches zero and the Vout converges to

its most negative value, Vout0 (see Figure 5.5). The equations at the end of Region I can

therefore be expressed in Equations (5.1) and (5.2) as follow:

,pcIDIRI (4.1)

and

),0exp(

tV

outV

SIDI

(4.2)

where IS and Vt are the ideal reverse saturation current of the junction diode and thermal

voltage. The time dependency of the charge generation is controlled with the

characteristic time of the Gaussian temporal profile of an ion strike (Δt) as presented in

Equation (5.3) where T0 is the delay time and erfc is the complementary error function.

The values of Δt and T0, are 2 ps and 10 ps, respectively that are mentioned in Section II

Page 63: Modeling and Simulation of the Programmable Metallization

50

as well. Because Δt is very short, the Gaussian profile is assumed constant at the Δt

period; therefore, Ipc is approximated with Equation (5.4) in which L is the device length.

.

)0(

2)0(exp2

)(

t

Terfct

t

Tt

tT

(5.3)

.t

LLCDpcI

(5.4)

Equation (5.4) is valid for a perpendicular ionizing strike where all created excess

charges contribute in Ipc current source. If an angled strike is taken into account, Equation

(5.4) needs to be modified while the remaining procedure of the model in the following

sections does not change.

5.3.2. Region II

Shortly after Vout0 is reached (~ 10 ps in Figure 5.5), the photocurrent rapidly drops to

zero while IC increases to satisfy the current equation,

DIRICI . (5.5)

The transient response is now in Region II, the plateau, as shown on Figure 5.5. Zero

Ipc in Region II indicates that the generation of electrons and holes has been stopped but

excess carriers generated from Region I are still in the system along the ion strike path

even at zero Ipc and their effect remains in the system.

Page 64: Modeling and Simulation of the Programmable Metallization

51

This high injection condition increases the values of IS and C several orders of

magnitude depending on the value of Ipc. Therefore, in Region II, IS and C change to

modified constants IS* and C

*, respectively. This increase in equivalent saturation current

and junction capacitance may be an outcome of the high carrier concentration gradients

forming a Debye layer near the anode contact which is at the top of n-region while it is

connected to the output voltage (Vout). Within the Debye layer, the excess carrier

concentration decays rapidly near the anode contact within a Debye length, LD, [99-102]

expressed as,

.

sqn

tVsDL

(5.6)

In Equation (5.6), εs is the Si permittivity, q is the magnitude of electronic charge, and

ns is the excess carrier concentration at the Debye layer edge. Figure 5.6 shows the carrier

concentration near the anode contact which is located at the top of the n-region and

connected to Vout node (see Figure 5.2(b)) after the ionizing strike where the load

resistance and LET are 50 kΩ and 10 MeV/(mg/cm²), respectively. Figure 5.6 depicts

that LD shows how carriers decay to the Ohmic contact boundary condition. The excess

carrier concentration, ns, in Equation (5.6) is in the flat region of Figure 5.6 where the

electron and hole concentrations are approximately equivalent. Under these high injection

conditions, the rapid decrease in Vout to its minimum value Vout0 causes the diode current

ID to increase rapidly until it is essentially equal to the Ipc. At this point, the response

enters Region II. At the end of Region I as mentioned before, Equation (5.1) is valid

where the summation of IR and ID is equal to Ipc. As we know, the dependency of IR on

Page 65: Modeling and Simulation of the Programmable Metallization

52

Vout is linear while ID is exponentially dependent on Vout, thus we assume ID at Vout=Vout0

equals to Ipc (see Equation (5.8)).

Figure 5.6: TCAD Simulation of the Carrier Concentration near the Anode Contact of the

Diode Right after the Radiation Strike with LET of 10 MeV/(mg/cm²) While the

Resistive Load is 50 kΩ in Which Debye Length (LD) is Shown

A closed form expression for ID can be approximated with the forward bias current

equation of a diode, expressed as

,p

npt

n

pntD

L

pAqV

L

nAqVI (5.7)

where np and pn are the non-equilibrium minority carrier concentrations at p-region and

n-region, respectively, Ln and Lp are the diffusion lengths at p-region and n-region,

respectively, µn and µp are the electron and hole mobilities, respectively, and A is the area

of the diode. It is worthwhile to mention that np and pn are dependent on the bias

conditions and are different from thermal-equilibrium minority carrier concentrations (np0

and pn0), therefore as mentioned before, Equation (5.7) is the forward bias current

equation not reverse current equation.

Page 66: Modeling and Simulation of the Programmable Metallization

53

In the high injection regime, an ionizing particle creates several electron-hole pairs

that overcome the intrinsic electric field at the depletion region of the diode. Thus, the

created electrons (holes) move to p-region (n-region) and the minority carrier

concentrations increase in each side up to the excess carrier concentration (ns) while the

diffusion length of each side (Ln and Lp) in Equation (5.8) decreases to the Debye length

(LD). Consequently, we can assume that np/Ln and pn/Lp in Equation (5.7) are substituted

by ns/LD. Equation (5.8) expresses the equivalence between Ipc and ID at the end of

Region I (or at the beginning of Region II), where the diode current is now a function of

ns and LD. Because the strike area (A*) is smaller than the diode area (A), A is replaced by

A* in Equation (5.7).

.)(

)(

*

0D

spntpcoutoutD

L

nqVAIVVI

(5.8)

By combining Equations (5.6) and (5.8), a closed form expression for ns as a function

of Ipc is derived as follows:

.)

)(

( 3/1

232*

2

pnt

pcss

VqA

In

(5.9)

According to Equation (5.9), ns is proportional to Ipc2/3

. To validate this relationship,

Figure 5.7 presents ns values for the model compared with those of TCAD simulations

for the wide range of Ipc. As seen in this figure, there is an excellent agreement between

the proposed model and TCAD simulation.

Page 67: Modeling and Simulation of the Programmable Metallization

54

Figure 5.7: The Excess Carrier Concentration (ns) Vs the Photocurrent Pulse (Ipc) Derived

from Equation (5.6) in Comparison to TCAD Simulation. The Load Resistance is 50 kΩ

In order to calculate the modified saturation current constant, IS*, which is the

saturation current constant of the diode after an ion strike, it is known that the saturation

current constant without an ion strike is inversely proportional to the diffusion lengths (Ln

and Lp shown in Equation (5.7)), while after an ion strike, the drain current (ID) and

consequently the modified saturation current constant of the diode (IS*) is inversely

proportional to the Debye length (see Equation (5.8)). As a result, the ratio of IS* and IS is

equal to the diffusion length over LD. In our case, the diffusion length is approximately

the same as the diode length, thus IS*, may be approximated as,

,*

DL

LSISI (5.10)

where L is the length of the diode (the distance between anode and body contacts). This

modified constant captures the impact of the high injection conditions in the PN junction.

Equation (5.9) shows that a larger Ipc results in larger ns and therefore a smaller LD

(Equation (5.6)). Consequently, given the approximation in Equation (5.10), a larger Ipc

Page 68: Modeling and Simulation of the Programmable Metallization

55

results in a larger equivalent saturation current, IS*. The increased diode capacitance, C

*,

the results from the high injection condition, can be approximated as,

,)01(

22)(*

outVoutV

LLCD

outV

Q

outV

QQ

outV

Q

dV

dQC

(5.11)

where Q and –Q are the charges of created holes and electrons by the ionizing particle

respectively, LCD is the linear charge deposition of the ion strike and Vout0 and Vout1 are

defined the first and last voltage levels of Region II. When an ionizing particle hits the

system, it creates Q charge of holes and –Q charge of electrons. Factor of 2 in Equation

(5.11) is due to the effects of both created electrons and holes. It is worthwhile to mention

that ΔVout in Equation (5.11) refers to the difference in Vout values by created electrons

and holes in Region II. As the result, 2Q charges change Vout voltage node from Vout0 to

Vout1 in Region II and the capacitive behavior of Region II can be modeled in Equation

(5.11). For high LET particle strikes, ID is larger than IR in the beginning of Region II.

However, due to the diode’s exponential current-voltage relationship, as the amplitude of

Vout decays across Region II, the diode current will drop more rapidly than the load

current. Finally, at the end of Region II, Vout = Vout1 may take place at IR = ID. Vout1 values

calculated based on the criterion of IR = ID are in the excellent agreement with simulation

results for all cases. It is worthwhile to mention that IR=ID at Vout1 does not mean IC=0, it

means IC=2IR=2ID (see Equation (5.5)). The precise values of Vout0 and Vout1 are calculated

based on following equations:

Page 69: Modeling and Simulation of the Programmable Metallization

56

pcI

tV

outV

SIR

outVDDV

)0exp(*)0(

, (5.12)

and

)1exp(*1

tV

outV

SIR

outVDDV

. (5.13)

By substituting current components of Equation (5.5), it can now be expressed as,

.)exp(**

R

outVDDV

tV

outV

SIdt

outdVC

(5.14)

The SET propagation time may be approximated as the time duration, T, of the

“plateau” region, i.e., Region II labeled in Figure 5.5. An analytical expression for T can

be derived from the integral form of Equation (5.14):

1

0

.

)exp(*

*out

out

V

Vout

outDD

t

outS

dV

R

VV

V

VI

CT (5.15)

To obtain a closed form expression for T, a midpoint approximation is used. The

midpoint voltage, Voutm, between Vout0 and Vout1 is found to be -0.6V which for the

technology examined here is close to –VDD/2. Voutm is independent to the technology

nodes because it is the required voltage to turn the diode on. Thus, by applying the

midpoint approximation, the linear term (VDD-Vout)/R can be replaced by the constant

3VDD/2R. In a general case where VDD is not 1.2 V, the ratio of VDD/(-Voutm) is defined as

n which is equal to 2 for VDD of 1.2 V. By substituting the factor of n in Equation (5.15),

the time duration of the SET can now be expressed in closed form as,

Page 70: Modeling and Simulation of the Programmable Metallization

57

))),1

1()0exp(*ln())1

1()1exp(*(ln(3

*2

nR

DDV

tV

outV

SInR

DDV

tV

outV

SItVoutV

DDV

RCT

(5.16)

where ΔVout = Vout1-Vout0. The duration time, T, vs. load resistance calculated by Equation

(5.16) at LET of 10 MeV/(mg/cm2) is plotted as a solid line in Figure 5.8. Also included

on the figure are the results (in symbols) obtained from the TCAD simulations. The

excellent agreement demonstrates the accuracy of the model in predicting the critical

parameter of SET duration over varying loads. Figure 5.8 shows the same trend of Figure

5.3 in which the duration time increases by increasing the load resistance. In order to

investigate the effect of LET (Ipc) on the duration time, Figure 5.9 shows the duration

time values for different LET values, where the analytical model results properly follow

TCAD simulation results. For this comparison, the LET value ranges from 5

MeV/(mg/cm²) to 30 MeV/(mg/cm²), which corresponds to a range common for oxygen

and sulfur ions in Jovian environments or even indirect events due to high energy

neutrons. As shown in Figure 5.9 and similar to Figure 5.1, increasing the LET (Ipc)

values leads to larger values of the duration time.

The influence of doping concentration of the p-region of the diode on the duration

time is evaluated in Figure 5.10 for both the model and the TCAD simulation, where the

LET and load resistance are 10 MeV/(mg/cm²) and 50 kΩ, respectively. In addition to

excellent agreement between the proposed model and TCAD simulation, the effect of the

p-region doping concentration on the duration time does not have a large effect.

Page 71: Modeling and Simulation of the Programmable Metallization

58

Figure 5.8: Duration Time (T) Vs Resistance for Both TCAD Simulation and the

Analytical Model with LET of 10 MeV/(mg/cm²)

Figure 5.9: Duration Time (T) Vs LET for Both TCAD Simulation and the Analytical

Model. The Load Resistance is 50 kΩ

Page 72: Modeling and Simulation of the Programmable Metallization

59

Figure 5.10: Duration Time (T) as a Function of Doping Concentration of P-region for

both TCAD Simulation and the Analytical Model with the LET of 10 MeV/(mg/cm²) and

Load Resistance of 50 kΩ

5.3.3. Region III

In Region III, Vout increases further toward the supply voltage and, as shown in Figure

5.5, there is a different temporal characteristic due to a change in diode capacitance, now

denoted as C**

. The mechanism for the capacitance change is related to a significant drop

in excess carriers concentration across the structure. In Region III, the decay of excess

carrier also reduces the forward bias across the PN junction, causing ID to fall well below

IR. As a result, IC and IR are equal and Vout can be expressed as,

),**

exp()1()(RC

toutVDDVDDVtoutV (5.17)

where C**

is defined based on the following equation like Equation (5.10):

.)1(

22)(**

outVDDV

LLCD

outV

Q

outV

QQ

outV

Q

dV

dQC

(5.18)

Page 73: Modeling and Simulation of the Programmable Metallization

60

Figure 5.11 presents the characteristics time in Region III as a function of resistive

load for both the proposed model and the simulation results at a LET of 10

MeV/(mg/cm²). As shown, there is an excellent agreement between the results.

Figure 5.11: Characteristics Time of Region III Vs Load Resistance for Both TCAD

Simulation and the Analytical Model with LET of 10 MeV/(mg/cm²)

5.4. Conclusion

In this study, an accurate physically-based predictive model to estimate the time

duration, T, of heavy ion induced SETs in a CMOS inverter gate is proposed. The model

is a closed form expression for T as a function of transistor parameters, material

constants, and external resistive loads. The model is derived by solving current equations

in three distinct time segments of the voltage transient. These current equations are

specific to a large signal equivalent circuit that describes a CMOS inverter output

response to a heavy ion strike through NMOS drain-body junction. The model is

validated via comparison to 3D TCAD simulations with varying load resistance, ion

Page 74: Modeling and Simulation of the Programmable Metallization

61

photocurrent, and doping concentration. Therefore, the model may enable rapid

predictions of SET susceptibility in bulk CMOS technologies.

Page 75: Modeling and Simulation of the Programmable Metallization

62

6. ANALYSIS OF THE REVERSE I-V CHARACTERISTICS OF DIAMOND-

BASED PIN DIODES

6.1. Introduction

Among wide bandgap semiconductor materials, diamond has potentially superior

electrical and thermal properties such as high breakdown field [103], high carrier

mobility [104], high thermal conductivity [105-106] and extremely low intrinsic carrier

concentration at room temperature. Based on these advantages, predictions of the high

potential figures of merit have been made for both active devices such as field-effect

transistors (FETs) [107-109] and high-power switches, and passive devices such as

Schottky [110] and PIN [111] diodes especially at high-frequency and elevated

temperature regimes [112].

As improvements in diamond-based device technology continues in terms of

appropriate growth technologies and post-annealing processes [113-116], it is important

to understand the origin of the reverse leakage current and the behavior of defects such as

threading dislocations in the reverse breakdown characteristics. Several investigations

have been conducted for the leakage mechanisms of other materials such as GaN [117-

118], GaN/AlGaN/GaN heterostructure [119-121], InGaAs [122], and Pr2O3 [123], which

concluded that the Poole-Frenkel emission dominates at different temperature ranges

[124]. However, no investigation has been made to analyze the reverse current

mechanism of diamond-based diodes to date.

In this present chapter, we investigate the reverse I-V characteristics of diamond-

based PIN diodes fabricated on bulk diamond substrates comparing experimental data

Page 76: Modeling and Simulation of the Programmable Metallization

63

with theory. The temperature dependence of the reverse I-V characteristics suggests that

the reverse current is mainly dominated by the hopping conduction at low electric fields

while the dominant conduction mechanism is the Poole-Frenkel emission (PFE) at high

electric fields. In the hopping conduction, carrier energies are lower than the maximum

energy of the potential barrier between two trapping sites and thus carriers can transport

by the tunneling mechanism [123, 125]. However, carriers can overcome the trap barrier

through the thermionic mechanism based on the PFE at high electric field. The hopping

conduction and PFE models presented here describe carrier transport via threading

dislocations in diamond, and these models are then fit to experimental data over a

temperature range of 323 K ˂ T ˂ 423 K. In the following, Section 6.2 describes the

diamond growth and device fabrication. The modeling methodology and temperature

analysis are then discussed in Section 6.3 and Section 6.4, respectively. Section 6.5

discusses the incorporation of the Poole-Frenkel model into a commercial simulator,

Silvaco Atlas. Finally, Section 6.6 summarizes the results.

6.2. Diamond Growth and Device Fabrication

The PIN structures were grown by plasma enhanced chemical vapor deposition

(PECVD) in SEKI AX5250 type reactors with dedicated deposition systems for intrinsic

and doped diamond layers as discussed elsewhere [126]. Prior to diamond growth, the

high-pressure, high-temperature (HPHT) type IIb (111) oriented substrate was cleaned by

a wet chemical process that commenced with a boil in an acid mixture (H2SO4 /H2O2

/H2O, 3:1:1) at 220 °C for 15 min to remove organic and metallic contaminations. This

Page 77: Modeling and Simulation of the Programmable Metallization

64

was followed by HF treatment for 5 min to remove SiO2 and metallic contaminations.

The final step included a boil in (NH4OH/H2O2 /H2O, 1:1:5) at 75 °C for 15 min to

remove the particles and organic contaminations. After each step the substrate was rinsed

with DI water. The substrate was then loaded into the intrinsic CVD reactor with a base

pressure in the mid 10-8

Torr. To prepare the substrate surface for intrinsic diamond

growth it was exposed for 15 min to a pure hydrogen plasma established at a hydrogen

flow rate of 400 sccm, chamber pressure of 90 Torr and microwave power of 1500 W

resulting in a temperature of about 1075 °C. After 15 min the microwave power was

reduced to 1200 W, the chamber pressure adjusted to 60 Torr and a methane flow rate of

0.2 sccm established. The intrinsic diamond growth at a temperature of about 830 °C was

timed for about 33 hours after which gas flow was terminated and microwave power shut

off. The sample was extracted and loaded into the phosphorus doping CVD reactor. With

an initial exposure to a pure hydrogen plasma for 30 min established by a 400 sccm

hydrogen flow rate, chamber pressure of 60 Torr and microwave power of 2000 W the

surface was prepared for successive doped diamond deposition. It commenced by

reducing the hydrogen flow rate to 360 sccm, establishing a methane flow rate and a

200ppm trimethylphosphine/hydrogen flow rate of 0.5 sccm and 40 sccm, respectively.

The phosphorus doped diamond deposition at about 770 °C was timed for 30 min after

which gas flow was terminated and microwave power shut off.

Page 78: Modeling and Simulation of the Programmable Metallization

65

6.3. Modeling Methodology

Threading dislocations (TDs) are known to affect the electrical properties of diamond,

particularly in homoepitaxial CVD synthetic diamond materials, and they influence

carrier transport in diamond-based devices [127-129]. Due to the formation of multiple

parallel paths, these dislocations may degrade the device performance of diamond-based

structures through increased leakage current. The leakage current through TDs in other

materials has been attributed to Poole-Frenkel emission (PFE) conduction [130-132],

which describes the field emission and retrapping of charge carriers from localized states

associated with the threading dislocations into a continuum of electronic states [130].

Since PFE occurs due to thermal activation under an electric field, this mechanism is

must often observed at high temperatures and high electric fields [133]. The current

associated with PFE is given by [133]:

),exp()exp(t

qV

kT

q

kT

qV

t

SI

i

B

(6.1)

where V is the applied voltage, S is the total wafer cross-sectional area, t is the total

thickness of the layer which the TDs span, in this case the i-layer of the PIN diode

structure and the depletion regions of the n- and p-regions. ϕB is the barrier height for

carrier emission from trapped states, k is Boltzmann’s constant, T is the temperature, q is

the electron charge, and εi is the optical (high-frequency) permittivity of diamond. During

the PFE process, since the carrier transit time to overcome the barrier height is shorter

than the dielectric relaxation time of diamond, there is not sufficient time for the medium

to be polarized; thus, the optical (high-frequency) permittivity constant is used, which is

Page 79: Modeling and Simulation of the Programmable Metallization

66

smaller than the low-frequency one in which more polarization mechanisms contribute to

the total polarization [130]. In addition, σ, which is the conductivity, is modeled as:

,t

Nq TD (6.2)

where NTD is the threading dislocation density (#cm-2

), and µ is the carrier mobility in the

continuum. For an increase in applied voltage, the barrier to carrier tunneling to the

conduction/valence band is lowered. This increases the probability of hopping from/to

threading dislocation sites and thereby increases the conductivity due to the PFE

mechanism. Equation (6.1) can be rewritten as:

,)()()ln( VTmTbV

I (6.3)

where b and m are temperature dependent parameters, which can be expressed as:

,)ln()(kT

q

t

STb B

(6.4)

and

.)(t

q

kT

qTm

i (6.5)

From m(T) in Equation (6.4), the total thickness of the i-layer (t) can be extracted.

Once t is determined, the threading dislocation density (NTD) and the barrier height (ϕB)

can be obtained from Equation (6.5).

At low electric fields, carriers do not overcome the maximum energy of the potential

barrier between two trapping sites; thus, the hopping conduction via tunneling effect is

the dominant mechanism of the current transport. The hopping conduction is expressed as

[125]:

Page 80: Modeling and Simulation of the Programmable Metallization

67

),exp(kT

qE

kTt

qaV

t

SqaNI aTD

(6.6)

where a is the mean hopping distance, ν is the frequency of thermal vibration of carriers

at trap sites, and Ea is the activation energy. In the next section, the temperature analysis

of experimental data will be addressed.

6.4. Temperature Analysis

Figure 6.1a shows a typical set of semilogarithmic reverse bias experimental I-V

characteristics of a diamond PIN diode in the temperature range of 323˗423 K. A total of

4 samples were compared: D300 (round cross-section with 280µm diameter), D100

(round cross-section with 80µm diameter), L400 (square cross-section of

380µm×380µm), and L150 (square cross-section of 130µm×130µm), respectively, with

similar current-voltage characteristics. As shown in Figure 6.1 for one device, D300, the

current increases monotonically with increasing temperature. For small reverse bias

conditions and currents, the current is noisy due to the experimental measurement limits.

In order to interpret the observed electrical characteristics shown in Figure 6.1a, fits to

the data are performed using Equations (6.6) and (6.3) in Figures 6.1b and 6.1c;

respectively. As shown in Figure 6.1b, a plot of ln(I) versus V indicates that the

experimental data match the hopping conduction fitting curves very well at low electric

fields. From the fitting curves of Figure 6.1b, the mean hopping distance is determined to

be about 5.5 ± 0.39 nm while the activation energy by using the slopes of Arrhenius plot

at low fields is determined to be about 0.241 ± 0.026 eV.

Page 81: Modeling and Simulation of the Programmable Metallization

68

Figure 6.1c shows a plot of ln(I/V) versus V and the correspondent fit using

Equation (6.3) with b and m as fitting parameters. As can be seen, excellent agreement is

obtained, which is indicative of the dominance of Poole-Frenkel transport at high electric

fields. In order to extract the total effective thickness of the i-layer (t), the threading

dislocation density (NTD), and the barrier height (ϕB), the m and b parameters (defined in

Equations (6.4) and (6.5) respectively) extracted from data for all four samples as the

function of temperature are shown in Figure 6.2. As can be seen in Figure 6.2, both m and

b show a 1/T dependence consistent with PFE. In extracting the TD density and barrier

height, the carrier mobility (µ) is assumed to be 1000 cm2/V.s in the low-doped i-layer

[134-135]. In Figure 6.2, the solid line fits of the experimental data exhibit the

temperature dependence expected for the PFE mechanism (Equations (6.4) and (6.5)). A

total thickness of the i-layer of 1.33 µm and an emission barrier height of 0.293 eV are

obtained from the slopes of m(T) and b(T), respectively. The i-layer thickness compares

well with the experimentally measured thickness from capacitance-voltage (C-V)

measurement plus thickness of n- and p-regions. In addition, the extracted emission

barrier height of 0.293 eV is compatible with similar studies for other materials where the

Poole-Frenkel emission is the dominant transport mechanism [120-122, 130, 133]. The

threading dislocation density (#cm-2

) can be determined from the y-intercept of Equation

(6.5) in which the conductivity (σ) is defined as in Equation (6.2). By substituting values

for the related parameters, a threading dislocation density of 3.75×104 cm

-2 is obtained,

which is in good agreement with the order of magnitude values reported in previous

works on good quality CVD diamond films [136-137].

Page 82: Modeling and Simulation of the Programmable Metallization

69

(a)

(b)

(c)

Figure 6.1: (a) Experimental I-V Characteristics for the D300 Sample While Temperature

Varies from 323 K to 423 K. (b) I-V Characteristics Based on the Hopping Mechanism

Versus the Experimental Data (c) I/V Fitting Curves as Functions of the Square Root of

the Applied Reverse Bias Voltages Based on the PFE Mechanism Versus the

Experimental Data

Page 83: Modeling and Simulation of the Programmable Metallization

70

(a)

(b)

Figure 6.2: The Extracted PFE Parameters from Experimental Data: (a) m and (b) b as the

Function of Temperature. The Solid Blue Lines Represent Linear Fittings of Extracted m

and b Parameters

Page 84: Modeling and Simulation of the Programmable Metallization

71

6.5. Simulation Results

In order to generalize the model discussed above, the Poole-Frenkel model has been

incorporated into 3D TCAD simulations, performed using Silvaco Atlas [53] in which

standard statistics and transport equations for free carriers are simultaneously solved to

simulate electrical characteristics of devices. A hopping transport model for carriers

trapped in impurity states is included in our simulations [138]. Also, an incomplete

ionization model is necessary to accurately capture free and trapped carrier

concentrations because the activation energies for both n-type and p-type diamonds are

high (around several hundred meV). In the case of n-type diamond, a constant value of

0.57 eV for the activation energy of phosphorus dopants is used [139-140], while a

significant variation in the activation energy of boron dopants as a function of the doping

concentration is utilized for the p-type diamond [141]. Based on the charge neutrality

equation, the free carrier concentration is calculated during simulation runtime. As

another important parameter, the low-field mobility of carriers depends on the doping

concentrations and the lattice temperature of diamond. Based on fitting to experimental

Hall measurements for electrons [142] and holes [143], an empirical mobility model

[144] is implemented in Atlas using the built-in C-language interpreter [145]. Moreover,

the temperature dependence of the band gap is incorporated in the simulations for

temperatures higher than room temperature.

To properly simulate realistic diamond-based PIN diodes in reverse bias conditions, a

parallel combination of an ideal diamond-based PIN diode and a shunt resistance is

utilized in 3D mixed-mode simulations. Mixed-mode simulation is a circuit simulator to

Page 85: Modeling and Simulation of the Programmable Metallization

72

simulate relatively small circuits that contain semiconductor devices for accurate compact

models that don’t exist or circuits where devices play a critical role must be modeled

accurately. As shown in Figure 6.3, the shunt resistance in the mixed-mode simulation is

electric-field and temperature dependent based on the Poole-Frenkel emission

mechanism. Figure 6.4 represents the simulation results using the average parameters

derived from Figure 6.2 compared with experimental data for the temperature range of

323-423 K. As observed in Figure 6.4, there is good agreement between simulation

results and experimental data. To investigate the effect of the TD density on I-V

characteristics, Figure 6.5 exhibits simulated I-V characteristics for the D300 sample at

323 K. It demonstrates that the TD density does not affect forward I-V characteristics

even for high TD densities while it significantly changes reverse I-V characteristics; thus

the TD density should be carefully controlled in the growth and fabrication process.

Figure 6.3: The TCAD Simulation Method of Diamond-based PIN Diodes

Page 86: Modeling and Simulation of the Programmable Metallization

73

(a)

(b)

Figure 6.4: (a) Simulated I-V Characteristics Compared with the Experimental Data of

D300 Sample While the Temperature Varies from 323 K to 423 K. (b) The PFE Mapping

of the Simulation Results Versus Experimental Data of D300 Sample

Page 87: Modeling and Simulation of the Programmable Metallization

74

Figure 6.5: Simulated I-V Characteristics of D300 Sample at 323 K for Different

Threading Dislocation Densities

6.6. Conclusions

Conduction through threading dislocations (TDs) in diamond-based PIN diodes has

been analyzed at different temperatures based on electrical conduction through TDs

described by the hopping conduction and the Poole-Frenkel emission (PFE) mechanisms

at low and high electric fields; respectively. These models provide an excellent fit to the

leakage current as a function of the temperature and bias. The activation energy and the

mean hopping distance were extracted from experimental data based on the hopping

conduction equation. From the PFE equations, the barrier height of TDs and TD density

of diamond were extracted from experimental data. Finally, TCAD simulation results,

which were in a good agreement with experimental data, verified the hopping conduction

and the PFE mechanism as the main sources of the reverse current in the measured

diamond-based PIN diodes.

Page 88: Modeling and Simulation of the Programmable Metallization

75

7. SIMULATION OF DIAMOND-BASED BIPOLAR JUNCTION TRANSISTORS

7.1. Introduction

As discussed in the previous chapter, diamond is an attractive material for high-power

and high-temperature switching applications for future power electronics [146-151].

Diamond is a group IV material, and structural defects such as threading dislocations,

mentioned in the previous chapter, should be appropriately controlled.

Among power switching devices, the bipolar junction transistor (BJT) is an important

component of power electronics. Due to the absence of a gate insulator, hydrogen-

terminated surface conductivity, and potentially lower on-resistance, diamond-based

BJTs have potential benefits in high-power and high-temperature applications. A key

parameter for power BJTs is the current gain as the ratio of the collector current to the

base current. Because of poor quality n-type layers, reported diamond-based BJTs have

not performed well, and suffered from low current gain [152-153].

In order to grow n-type diamond, phosphorus doping with a donor level of ~ 0.6 eV

below the conduction band minima and mobility of around several 100 cm2/Vs has been

implemented [154-155]. A wide range of phosphorus doping levels are available for both

(001) and (111) substrate orientations [156-157]. Highly-doped layers have two types of

conduction mechanisms: band conduction through free electrons generated by

phosphorus donor states and hopping conduction through phosphorus-related donor

states. The latter one is the dominant transport mechanism for the phosphorous doping

concentration above 1019

cm−3

and gives a resistivity of less than 100 Ω-cm at room

Page 89: Modeling and Simulation of the Programmable Metallization

76

temperature [158]. The junction properties of doped layers exhibit conventional

semiconductor junction properties due to the band conduction [156-157], [159-160]. This

chapter presents diamond-based BJT simulations using the Silvaco, Atlas simulator in

which two field-plate methods are proposed and discussed in order to decrease the

surface electric field at the edges, to avoid early breakdown in diamond-based BJTs.

7.2. Field-Plate Methods

The concept of the field-plate is to enhance the breakdown voltage by reducing

electric field peaks at device edges. A field-plate is a metal electrode or highly-doped

region which terminates high electric field lines at higher bias conditions at surfaces

and/or edges. This leads to the reduction in the electric field peak at surface and/ or

edges.

Two field-plate methods are proposed and shown in Figure 7.1 to decrease the

electric field at edges of diamond-based BJTs. In the first structure, shown in Figure

7.1(a), field-plates are N+ regions at both sides of the device while Figure 7.1(b)

represents the second method in which field-plates are metallic. It is worthwhile to

mention that field-plates in both methods can be covered with insulator regions to

minimize the effect of the field-plates on the BJT I-V characteristics. In diamond-based

BJTs, there is an i-layer between the emitter and base regions and between the base and

collector regions, to decrease leakage current components.

Page 90: Modeling and Simulation of the Programmable Metallization

77

(a)

(b)

Figure 7.1: Two Proposed Field-plate Methods in Diamond-based BJTs

Page 91: Modeling and Simulation of the Programmable Metallization

78

(a)

(b)

(c)

Figure 7.2: The Electric Field Distribution of (a) the Diamond-based BJT Without Any

Field-plate, (b) the Diamond-based BJT with Field-plates Shown in Figure 7.1(a), and (c)

the Diamond-based BJT with Field-plates Shown in Figure 7.1(b) at VCE=-500 V and

VEB= 4.7 V

Page 92: Modeling and Simulation of the Programmable Metallization

79

Figure 7.2 presents 2D electric field distributions of three structures at the same bias

conditions (VCE=-500 V and VEB= 4.7 V): a) the diamond-based BJT without any field-

plate, b) the diamond-based BJT with field-plates as shown in Figure 7.1(a), and c) the

diamond-based BJT with field-plates as shown in Figure 7.1(b). As demonstrated in

Figure 7.2, without any field-plate, there are hot electric field spots at the edges of the i-

layer between the base and collector regions, while the first architecture with field-plates

(see Figure 7.1(a)) eliminates these hot spots, and the second field-plate architecture (see

Figure 7.1(b)) moves the hot spots away from the edges towards the oxide regions around

the field-plates.

In order to quantitatively compare the electric field at the edges of the BJTs, Figure

7.3 represents a comparison between the electric field of the structure without any field-

plate and that of the structure shown in Figure 7.1(a) at the cutline AA’. Figure 7.3

demonstrates that field-plates decrease the electric field at the device edges where early

breakdown is probable. Figure 7.3 shows that the electric fields at the edges for the cases

with field-plates covered with air and SiO2 are similar. To further improve the electric

field decrease at the device edges, Figure 7.4 shows that high-k materials can be

implemented to surround the field-plates. As shown in Figure 7.4, the electric field at the

edges decreases more when high-k materials are used.

Page 93: Modeling and Simulation of the Programmable Metallization

80

Figure 7.3: The Electric Field at the Cutline AA’ for Three Cases: BJT Without Any

Field-plate, BJTs with Field-plates (Shown in Figure 7.1(a)) Surrounded by Air and SiO2

Respectively at VCE=-500 V and VEB= 4.7 V

Figure 7.4: The Electric Field at the Cutline AA’ for Different Materials Including High-

k Materials Surrounding Field-plates at VCE=-500 V and VEB= 4.7 V

For the second proposed structure with the field-plates shown in Figure 7.1(b), the

electric fields at the cutline AA’ are shown in Figure 7.5 at VCE=-500 V and VEB= 4.7 V.

As can be seen, the electric field at the device edges with field-plates is around one order

Page 94: Modeling and Simulation of the Programmable Metallization

81

of magnitude lower than that of the device without any field-plate, while the higher

electric field takes place at the oxide near the field-plates.

Figure 7.5: The Electric Field at the Cutline AA’ for the Diamond-based BJT Without

Any Field-plate and the Diamond-based BJT with the Field-plates Shown in Figure

7.1(b) at VCE=-500 V and VEB= 4.7 V

This chapter was also intended to explain the I-V characteristics of simulated BJT

compared to the experimental data, but the current gain of the experimental data of

diamond-based BJTs fabricated at Arizona State University (ASU) is currently low

(around 10-5

). Thus, we are first trying to understand why the current gain is low and then

improve the quality of fabrication process and material to obtain current gains higher than

unity.

Page 95: Modeling and Simulation of the Programmable Metallization

82

8. SUMMARY AND CONCLUSIONS

This work is divided to three separate parts. The first part includes the first four

chapters mainly focusing on the modeling and simulation of the programmable

metallization cell (PMC), which is one of the nonvolatile memory technologies of current

interest. The second part includes the fifth chapter which proposes on an accurate

physically based predictive model to estimate the time duration, T, of the heavy-ion-

induced SETs in a CMOS inverter gate. The last two chapters are dedicated to diamond-

based power devices for high-power and high-temperature applications.

PMCs are the basis for commercial conductive bridge random access memory

(CBRAM) devices. The memory state of a PMC is dictated by the formation or

dissolution of a metallic filament in a metallic-doped chalcogenide glass film between

active metal and inert metal contacts. Chapter 1 was dedicated to the introductory

literature study of PMCs and their different mechanisms of the ionic conduction.

In Chapter 2, an accurate mathematical function that related the parameters of

anion dissolution/ formation reactions to the energies of equivalent acceptor-like traps

was derived. This was done by equating anion reaction in equilibrium to the conventional

carrier statistics captured in the Fermi-Dirac equation. Through device simulation, it was

verified that this equivalence relation could be accurately extended to a non-equilibrium

steady state relationship, while it was independent of the parameters of a typical

photogeneration source. Therefore, it enabled us to model the impact of the reaction

species in ChG materials in both equilibrium and in the steady state conditions that

existed during photogeneration. This equivalence can be used to simply model anion

Page 96: Modeling and Simulation of the Programmable Metallization

83

reactions in standard TCAD tools. The model might be easily extended to other chemical

reactions including positively charged species and/or holes.

Chapter 3 proposed a dynamic physical-based simulation for the photodoping

process in chalcogenide glasses which were used in lateral PMC RRAM devices.

Ge30Se70 materials were used as ChG glasses with Ag anode and Ni cathode contacts. As

verified by simulation, the photodoping process produced several electron-hole pairs in

the system where the created electrons reacted with Ag+ ions to create a conductive

filament near cathode interface.

Because of relatively rigid covalent bonds mixed with soft van der Waals

interconnections, the chalcogenide glass is able to form traps where electrons are

absorbed and therefore; electrons are considered as minority carriers and their mobility

significantly decreases compared to crystallized structures. The role of carriers in the

interaction with ionic species by the formation and dissolution of the metallic filament in

the chalcogenide glasses is inevitable. Therefore, knowing the carriers’ characteristics in

the chalcogenide glass is essential. Chapter 4 proposed a method to extract the time

constant of ChG materials which enables us to determine the carriers’ mobility with and

without the UV light exposure. In order to make the method realistic, the results of

TCAD simulations were also presented in this chapter.

An accurate physically based predictive model to estimate the time duration, T, of

the heavy-ion-induced SETs in a CMOS inverter gate was proposed in Chapter 5. The

model consisted of a closed-form expression for T as a function of transistor parameters,

material constants, and external resistive loads. The model was derived by solving the

current equations in three distinct time segments of the voltage transient. These current

Page 97: Modeling and Simulation of the Programmable Metallization

84

equations were specific to a large signal equivalent circuit that describes a CMOS

inverter output response to a heavy ion strike through an NMOS drain–body junction.

The model was validated by comparing 3D TCAD simulations with varying load

resistance, ion photocurrent, and doping concentration. Therefore, the model may enable

the rapid predictions of SET susceptibility in the bulk CMOS technologies.

Conduction through threading dislocations (TDs) in diamond-based PIN diodes

was analyzed at different temperatures based on electrical conduction through TDs

described by the Poole-Frenkel emission (PFE) mechanism in Chapter 6. This model

provided an excellent fit to the leakage current as a function of temperature and bias.

From the PFE equations, the barrier height of TDs and the TD density of diamond were

extracted from experimental data. Finally, TCAD simulation results, which were in good

agreement with experimental data, verified the PFE mechanism as the main source of the

reverse current in measured diamond-based PIN diodes.

The last chapter is devoted to diamond-based bipolar junction transistors (BJTs),

to propose proper field-plate methods in order to avoid early breakdown due to hot

electric field spots along edges and surfaces. Moreover, the simulation and modeling

aspects of diamond-based BJTs is under investigation to understand why the current gain

is very low based on experimental data. We are presently trying to improve the current

gain by implementing improved material growth and device fabrication processes. Then,

we will be able to simulate and model BJT’s I-V characteristics compared with

experimental data.

Page 98: Modeling and Simulation of the Programmable Metallization

85

REFERENCES

[1] M. N. Kozicki, M. Park, M. Mitkova, vol. 4, no. 3, pp. 331–338, 2005.

[2] I. Valov, M. N. Kozicki, J. Phys. D: Appl. Phys., vol. 46, 074005, 2013.

[3] R. Waser, M. Aono, Nat. Mater., vol. 6, pp. 833–840, 2007.

[4] I. Valov, R. Waser, J. R. Jameson, M. N. Kozicki, Nanotechnology, vol. 22, 254003,

2011.

[5] N. E. Gilbert, M. N. Kozicki, IEEE Journal of Solid-State Circuits, vol. 42, no. 6, pp.

1383-1391, 2007.

[6] S. Wald, J. Baker, M. Mitkova, N. Rafla, IEEE Workshop on Microelectronics and

Electron Devices (WMED), vol. 1, no. 4, pp. 22 , 2011.

[7] A. Afifi, A. Ayatollahi, F. Raissi, Int. J. Circ. Theor. Appl., vol. 39, no. 4, pp. 357-

372, 2011.

[8] P. Blanchard et.al, Adesto Technologies, Innovative Memory Technologies Workshop

MINATEC, Grenoble France, 2011.

[9] M. N. Kozicki, M. Mitkova, M. Park, M. Balakrishnan, C. Gopalan, Superlattices and

Microstructures, vol. 34, pp. 459-465, 2003.

[10] Y. Hirose and H. Hirose, Journal of Applied Physics, vol. 47, no. 6, pp. 2767-2772,

1976.

[11] A. S. Oblea, A. Timilsina, D. Moore and K. A. Campbell, 2010 International Joint

Conference on Neural Networks (IJCNN), pp. 1-3, 2010.

[12] A. H. Edwards and K. A. Campbell, 10th Annual Non-Volatile Memory Technology

Symposium (NVMTS), pp. 1-7, 2009.

[13] K. B. Campbell, “Investigation of conduction mechanisms of Ion-Conducting,

bridging memory devices (CBRAM/PMC/ECM),” 2012.

[14] U. Russo, D. Kamalanathan, D. Ielmini, A. L. Lacaita, and M. N. Kozicki, IEEE

Trans. on Electron Devices, vol. 33, no. 2, pp. 257-259, 2012.

[15] J. R. Jameson et al, IEEE Electron Device Letters, vol. 33, no. 2, pp. 257-259, 2012.

[16] D. Kamalanthan, U. Russo, D. Ielmini, and M. N. Kozicki, IEEE Electron Device

Letters, vol. 30, no. 5, pp. 553-555, 2009.

[17] R. Waser, R. Dittmann, G. Staikov and K. Szot, Adv. Mater., vol. 21, pp. 2632-2663,

2009.

Page 99: Modeling and Simulation of the Programmable Metallization

86

[18] A. Brad and L. Faulkner, Electrochemical Methods: fundumentals and applications,

1st ed. New York, United State of America: John Wiley and sons, 1980.

[19] J. J. O'Dwyer, “The theory of Electrical Conduction and breakdown" in Solid

Dielectrics. Oxford: Clarendon Press, 1973.

[20] M. N. Kozicki, and M. Mitkova, Journal of Non-Crystalline Solids, vol. 352, pp.

567-577, 2006.

[21] D. B. Strukov, and R. S. Williams, Appl Phys A, vol. 94, pp. 515-519, 2009.

[22] S. Stehlik, K. Shimakawa, T. Wagner, and M. Frumar, J. Phys. D: Appl. Phys., vol.

45, pp. 205304-205308, 2012.

[23] M. Mitkova, and M. N. Kozicki, Journal of Physics and Chemistry of Solids, vol. 68,

pp. 866-872, 2007.

[24] M. Frumar, and T. Wagner, Solid State and Materials Science, vol. 7, pp. 117-126,

2003.

[25] S. Kim, S. Choi, and W. Lu, ACS Nano, vol. 8, pp. 2369-2376, 2014.

[26] J. D. Greenlee, W. L. Calley, M. W. Moseley, and W. A. Doolittle, IEEE

Transactions on Electron Devices, vol. 60, pp. 427-432, 2013.

[27] S. Yu, and H.-S. P. Wong, IEEE Transactions on Electron Devices, vol. 58, pp.

1352-1360, 2011.

[28] F. Pan, S. Yin, and V. Subramanian, IEEE Electron Device Letters, vol. 32, pp. 949-

951, 2011.

[29] E. Antolini, Solid State Ion., vol. 170, pp. 159–171, 2004.

[30] F. Argall, Solid State Electron, vol. 11, pp. 535–541, 1968.

[31] A. Baikalov, Y. Q. Wang, B. Shen, B. Lorenz, S. Tsui, Y. Y. Sun, Y. Y. Xue, and C.

W. Chu, Appl. Phys. Lett., vol. 83, pp. 957–959, 2003.

[32] W. R. Hiatt and T. W. Hickmott, Appl. Phys. Lett., vol. 6, pp. 106–108, 1965.

[33] C.-Y. Lin, C.-Y. Wu, T.-C. Lee, F.-L. Yang, C. Hu, and T.-Y. Tseng, IEEE Electron

Device Lett., vol. 28, pp. 366–368, 2007.

[34] A. Odagawa, T. Kanno, and H. Adachi, J. Appl. Phys., vol. 99, pp. 016101-1–

016101-3, 2006.

[35] H. Sim, D. Choi, D. Lee, S. Seo, M.-J. Lee, I.-K. Yoo, and H. Hwang, IEEE

Electron Device Lett., vol. 26, pp. 292–294, 2005.

[36] C. Schindler, S. C. P. Thermadam, R. Waser, and M. N. Kozicki, IEEE Trans.

Electron Devices, vol. 54, pp. 2762–2768, 2007.

Page 100: Modeling and Simulation of the Programmable Metallization

87

[37] M. N. Kozicki, C. Gopalan, M. Balakrishnan, and M. Mitkova, IEEE Trans.

Nanotechnol., vol. 5, pp. 535–544, 2006.

[38] Z. Wang, P. B. Griffin, J. McVittie, S. Wong, P. C. McIntyre, Y. Nishi, IEEE

Electron Device Lett., vol. 28, pp. 14-16, 2007.

[39] T. Sakamoto, H. Sunamura, H. Kawaura, T. Hasegawa, T. Nakayama, M. Aono,

Appl. Phys. Lett., vol. 82, pp. 3032-3034, 2003.

[40] K. Terabe, T. Hasegawa, T. Nakayama, M. Aono, Nature, vol. 433, pp. 47-50, 2005.

[41] C. Pi, Y. Ren, and W. K. Chim, Nanotechnology, vol. 21, pp. 085709-085716, 2010.

[42] J. Orava, T. Kohoutek, T. Wagner, Z. Cerna, M. Vlcek, L. Benes, B. Frumarova, M.

Frumar, Journal of Non-Crystalline Solids, vol. 355, pp. 1951-1954, 2009.

[43] M. Jin, P. Boolchand, M. Mitkova, J. Non-Cryst. Solids, vol. 354, pp. 2719- 2723,

2008.

[44] S. Kaeriyama, T. Sakamoto, H. Sunamura, M. Mizuno, H. Kawaura, T. Hasegawa,

K. Terabe, T. Nakayama, M. Aono, IEEE J. Solid-State Circuits, vol. 40, pp. 168-

176, 2005.

[45] M. A. Urena, A. A. Piarristeguy, M. Fontana, B. Arcodo, Solid State Ionics, vol.

176, pp. 505-512, 2005.

[46] R. Soni, M. Meier, A. Rüdiger, B. Holländer, C. Kügeler, and R. Waser,

Microelectron. Eng., vol. 86, pp. 1054–1056, 2009.

[47] M. Saremi, S. Rajabi, H. J. Barnaby and M. N. Kozicki, MRS Proceedings, vol.

1692, 2014.

[48] A. V. Kolobov, S. R. Elliott, M. A. Taguirdzhanov, Philos. Mag. B, vol. 61, 1990.

[49] I. Z. Indutni, V. A. Danko, A. A. Kudryavtsev, E. V. Michailovskaya, V. I. Minko,

J. Non-Cryst. Sol., vol. 185, pp. 176-182, 1995.

[50] A.V. Kolobov, G.E. Bedel’baeva, Philos. Mag. B, vol. 64, 1991.

[51] M. Kastner, D. Adler, H. Fritzsche, Physical Review Letters, vol. 37, pp. 1504-1507,

1976.

[52] W. Shockley and W. T. Read, Phys. Rev., vol. 87, pp. 835-842, 1952.

[53] Silvaco ATLAS Device Simulator Silvaco Inc., Santa Clara, CA, USA

http://www.silvaco.com/products/device_simulation/atlas.html

[54] J. G. Simmons, G. W. Taylor, Phys. Rev. B, vol. 4, pp. 502-, 1971.

[55] P. A. M. Dirac, in Proc. R. Soc. Lond., vol. 112, 1926.

Page 101: Modeling and Simulation of the Programmable Metallization

88

[56] S. Rajabi, M. Saremi, H. J. Barnaby, A. Edwards, M. N. Kozicki, M. Mitkova, D.

Mahalanabis, Y. Gonzalez-Velo, A. Mahmud, Solid-State Electronics, vol. 106, pp.

27-33, 2015.

[57] Y. Hirose, H. Hirose, and M. Morisue, Phys. Stat. sol. (a). 61 (1980) K187.

[58] ITRS, www.itrs.net [online].

[59] C. Gopalan, Y. Ma, T. Gallo, J. Wang, E. Runnion, J. Saenz, F. Koushan, P.

Blanchard, and S. Hollmer, Solid-State Electron. 58 (2011) 54.

[60] J. A. Nessel, R. Q. Lee, C. H. Mueller, M. N. Kozicki, M. Ren, and J. Morse, IEEE

MTT-S International Microwave Symposium Digest. (2008) 1051.

[61] J. R. Jameson, N. Gilbert, F. Koushan, J. Saenz, and J. Wang, Appl. Phys. Lett., 100

(2012) 023505.

[62] A. Bard and L. Faulkner, Electrochemical methods: fundamentals and applications,

1st ed. New York, United State of America: John Wiley and Sons, 1980.

[63] J. G. Simmons and R. R. Verderber, Royal Society A, Mathematical and Physical

Sciences. 301 (1967) 77.

[64] J. Singh and K. Shimakawa, Advances in Amorphous Semiconductors, D. D. Sarma,

G. Kotliar, and Y. Tokura, Eds. Boca Raton, FL, USA: CRC Press, 2003, vol. 5.

[65] P. R. Emtage and W. Tantraporn, Physical Review Letters. 8 (1962) 267.

[66] J. Frenkel, Physical Review. 54 (1938) 647.

[67] M. Lenzlinger and E. H. Snow, Journal of Applied Physics. 40 (1969) 278.

[68] A. K. Agarwal, S. Seshadri, and L. B. Rowland, IEEE Electron Device Letters. 18

(1997) 592.

[69] D-Y Cho et al., Adv Mater. 24 (2012) 4552.

[70] E. Souchier et al., Phys. Chem. Chem. Phys. 17 (2015) 23931.

[71] J. Van den Hurk et al., Phys. Chem. Chem. Phys. 16 (2014) 18217.

[72] F. d’Acapito et al., Phys. Status Solidi A. 213 (2016) 311.

[73] H. Jonsson, G. Mills, and K. W. Jacobsen, Classical and Quantum Dynamics in

Condensed Phase Simulations. Singapore: World Scientic, (1988).

[74] S. R. Ovshinsky and H. Fritzsche, IEEE Trans. Electron Devices, 20 (1973) 91.

[75] D. W. Bullett, Phys. Rev. B, 14 (1976) 1683.

[76] S. Yu, Yi Wu, R. Jeyasingh, D. Kuzum and H.-S.P. Wong, IEEE Trans. on

Electron Devices, 58, 2729 (2011).

Page 102: Modeling and Simulation of the Programmable Metallization

89

[77] M. Saremi, H. J. Barnaby, A. Edwards, and M. N. Kozicki, ECS Electrochemistry

Letters, 4, H29 (2015).

[78] M. Saremi, Solid State Ionics, vol. 290, pp. 1-5, 2016.

[79] S. Buchner, M. Baze, D. Brown, D. McMorrow, and J. Melinger, IEEE Trans. Nucl.

Sci., 44, 2209 (1997).

[80] C. M. Hsieh, P. C. Murley, and R. R. O’Brien, IEEE Trans. Device Letters., EDL-2,

103 (1981).

[81] P. E. Dodd, M. R. Shaneyfelt, J. A. Felix, J. R. Schwank, IEEE Trans. Nucl. Sci., 51,

3278 (2004).

[82] R. Koga and W. A. Kolasinski, IEEE Trans. Nucl. Sci., 34, 46 (1987).

[83] J. F. Leavy, L. F. Hoffman, R. W. Chovan, and M. T. Johnson, IEEE Trans. Nucl.

Sci., 38, 1493 (1991).

[84] M. P. Baze and S. P. Buchner, IEEE Trans. Nucl. Sci., 44, 2217 (1997).

[85] P. Eaton, J. Benedetto, D. Mavis, K. Avery, M. Sibley, M. Gadlage, and T.

Turflinger, IEEE Trans. Nucl. Sci., 51, 3365 (2004).

[86] M. J. Gadlage, R. D. Schrimpf, P. H. Eaton, and T. L. Turflinger, IEEE Trans. Nucl.

Sci., 51, 3285 (2004).

[87] S. E. Diehl-Nagle, J. E. Vinson, and E. L. Petersen, IEEE Trans. Nucl. Sci., 31, 1132

(1984).

[88] N. Kaul, B. L. Bhuva, and S. E. Kerns, IEEE Trans. Nucl. Sci., 38, 1514 (1991).

[89] M. P. Baze, S. Buchner, W. G. Bartholet, and T. A. Dao, IEEE Trans. Nucl. Sci., 42,

1863 (1995).

[90] D. E. Fulkerson and H. Liu, IEEE Trans Nucl. Sci., 1, 275 (2004).

[91] D. E. Fulkerson, D. K. Nelson, R. M. Carlson, and E. E. Vogt, IEEE Trans Nucl.

Sci., 54, 1406 (2007).

[92] J. Kauppila, A. L. Sternberg, M. L. Alles, A. M. Francis, J. Holmes, O. A. Amusan,

L. W. Massengill, IEEE Trans. Nucl. Sci., 56, 3152 (2009)

[93] D. Kobayashi, H. Saito, K. Hirose, IEEE Trans. Nucl. Sci., 54, 1037 (2007).

[94] D. Kobayashi, K. Hirose, V. Ferlet-Cavrois, D. McMorrow, T. Makino, H. Ikeda, Y.

Arai, M. Ohno, IEEE Trans. Nucl. Sci., 56, 3043 (2009)

[95] Victory Cell simulator from Silvaco Inc., Version 1.11.10, 2013.

Page 103: Modeling and Simulation of the Programmable Metallization

90

[96] S. DasGupta, A. F. Witulski, B. L. Bhuva, M. L. Alles, R. A. Reed, O. A. Amusan,

J. R. Ahlbin, R. D. Schrimpf, and L. W. Massengill, IEEE Trans. Nucl. Sci., 54, 2407

(2007).

[97] O. Amusan, A. F. Witulski, L. W. Massengill, B. L. Bhuva, P. R. Fleming, M. L.

Alles, A. L. Sternberg, J. D. Black, and R. D. Schrimpf, IEEE Trans. Nucl. Sci., 53,

3253 (2006).

[98] V. Ferlet-Cavrois et al., IEEE Trans. Nucl. Sci., 53, 3242 (2006)

[99] J. D. Gleason, H. J. Barnaby, M. L. Alles, and G. J. Schlenvogt, IEEE Trans. Nucl.

Sci., 60, 4570 (2013).

[100] R. S. Muller, T. I. Kamins, and M. Chan, Device Electronics for Integrated Circuits

(Third Edition, John Wiley & Sons, 2003).

[101] B. G. Streetman, S. Banerjee, Solid State Electronic Devices (Sixth Edition,

Pearson Prentice Hall, 2006).

[102] S. M. Sze and K. K. Ng, Physics of Semiconductor Devices (Hoboken, NJ, USA:

Wiley, 2007).

[103] S. Koizumi, C. E. Nebel, and M. Nesladek, Physics and Applications of CVD

Diamond (Wiley-VCH, 2008).

[104] J. Pernot, P. N. Volpe, F. Omnes, P. Muret, V. Mortet, K. Haenen, and T. Teraji,

Phys. Rev. B 81, 205203, 2010.

[105] T. R. Anthony, W. F. Banholzer, J. F. Fleischer, L. Wei, et al., Phys. Rev. B. 42,

1104, 1990.

[106] L. Wei, P. K. Kuo, R. L. Thomas, T. R. Anthony, W. F. Banholzer, Physical

Review Letters. 70, 3764, 1993.

[107] J. Liu, H. Ohsato, X. Wang, M. Liao, and Y. Koide, Scientific Reports 6, (2016)

doi: 10.1038/srep34757.

[108] S. A. O. Russell, S. Sharabi, A. Tallaire, and D. A. J. Moran, IEEE Electron Device

Lett. 33, 1471, 2012.

[109] T. Iwasaki, Y. Hoshino, K. Tsuzuki, H. Kato, T. Makino, M. Ogura, D. Takeuchi,

T. Matsumoto, H. Okushi, S. Yamasaki, and M. Hatano, Appl. Phys. Express 5,

091301, 2012.

[110] P.-N. Volpe, P. Muret, J. Pernot, F. Omnes, T. Teraji, Y. Koide, F. Jornard, D.

Planson, P. Brosselard, N. Dheilly, B. Vergne, and S. Scharnholz, Appl. Phys. Lett.

97, 223501, 2010.

Page 104: Modeling and Simulation of the Programmable Metallization

91

[111] Y. Hoshino, H. Kato, T. Makino, M. Ogura, T. Iwasaki, M. Hatano, and S.

Yamasaki, Phys. Status Solidi A 209, 1761, 2012.

[112] C. J. H. Wort and R. S. Balmer, Mater. Today 11, 22, 2008.

[113] H. Sumiya and K. Tamasaku, Jpn. J. Appl. Phys., 51, 09102, 2012.

[114] A.T. Collins, H. Kanda and H. Kitawaki, Diamond Relat. Mater. 9, 113, 2000.

[115] P. M. Martineau, M. P. Gaukroger, K. B. Guy, S. C. Lawson, D. J. Twitchen, I.

Friel, J. O. Hansen, G. C. Summerton, T. P. G. Addison, and R. Burns, J. Phys.:

Condens. Mater, 21, 364205, 2009.

[116] N. Tatsumi, K. Tamasaku, T. Ito, and H. Sumiya, Journal of Crystal Growth,

(2016), doi: http://dx.doi.org/10.1016/j.jcrysgro.2016.10.033.

[117] J. W. P. Hsu, M. J. Manfra, D. V. Lang, S. Richter, S. N. G. Chu, A. M. Sergent, R.

N. Kleiman, L. N. Pfeiffer, and R. J. Molnar, Appl. Phys. Lett. 78, 1685, 2001.

[118] F. Iucolano, F. Roccaforte, F. Giannazzo, and V. Raineri, J. Appl. Phys. 104,

093706, 2008.

[119] O. Mitrofanov and M. Manfra, Appl. Phys. Lett. 84, 422, 2004 .

[120] C. Xu, J. Wang, H. Chen, F. Xu, Z. Dong, Y. Hao, and C. P. Wen, IEEE Electron

Device Lett. 28, 942, 2007.

[121] H. Zhang, E. J. Miller, and E. T. Yu, J. Appl. Phys. 99, 023703, 2006 .

[122] C. Couso, et al., IEEE electron device letters, 37, p. 640-643, 2016.

[123] F.-C. Chiu, et al., Journal of Applied Physics, 105, 074103, 2009.

[124] S. D. Ganichev, E. Ziemann, and W. Prettl, Physical Review B, 61, 10361, 2000.

[125] S. Zaima, T. Furuta, Y. Koide, and Y. Yasuda, J. Electrochem. Soc. 137, 2876

1990 .

[126] M. Dutta, et al., IEEE Electron Device Letters, 37, 1170, 2016.

[127] Y. Kato, H. Umezawa, H. Yamaguchi and S. Shikata, Diamond Relat. Mater., 29,

37 (2012).

[128] S. Masuya, K. Hanada, T. Uematsu, T. Moribayashi, H. Sumiya and M. Kasu, Jpn.

J. Appl. Phys., 55, 04303 (2016).

[129] F.-C Chiu, Advances in Materials Science and Engineering, 2014, 578168, 2014.

[130] J. Frenkel, Phys. Rev. 54, 647 (1938).

[131] R. L. Angle, H. E. Talley, IEEE Transactions on Electron Devices, ED-25, pp.

1277-1283, 1978.

Page 105: Modeling and Simulation of the Programmable Metallization

92

[132] H. Schroeder, Journal of Applied Physics, 117, 215103, 2015.

[133] C. Liu, et al., Journal of Applied Physics, 102, p. 074115-1, 2007.

[134] H. Ye, et al., J. Phys. D:Appl. Phys., 33, pp. L148-L152, 2000.

[135] M. Alam, A. Lucero, Materials Science and Engineering, B27, pp. 81-85, 1994.

[136] S. Shikata, Diamond & Related Materials, 65, pp. 168-175, 2016.

[137] A. Tallaire, et al., Cryst. Growth Des., 16, pp. 2741-2746, 2016.

[138] A. Marechal, N. Rouger, J.-C. Crebier, J. Pernot, S. Koizumi, T. Teraji, and E.

Gheeraert, Diamond Relat. Materi. 43, pp. 34-42, 2014.

[139] S. Koizumi, T. Teraji, and H. Kanda, Diamond Relat. Mater., 9, 935, 2000.

[140] E. Gheeraaert, S. Koizumi, T. Teraji, H. Kanda, and M. Nesladek, Diamond Relat.

Mater., 9, 948, 2000.

[141] J.-P. Lagrange, A. Deneuville, and E. Gheeraert, Diamond Relat. Mater., 7, pp.

1390–1393, 1998.

[142] J. Pernot and S. Koizumi, Appl. Phys. Lett., 93, 052105, 2008.

[143] P.-N. Volpe, J. Pernot, P. Muret, and F. Omnes, Appl. Phys. Lett., 94, 092102,

2009.

[144] A. Marechal, N. Rouger, J.-C. Crebier, J. Pernot, S. Koizumi, T. Teraji, and E.

Gheeraert, Diamond Relat. Materi. 43, pp. 34–42, 2014.

[145] R. Hathwar, M. Dutta, F. A. M. Koeck, R. J. Nemanich, S. Chowdhury, and S. M.

Goodnick, Journal of Applied Physics, 119, 225703, 2016.

[146] T. Makino, S. Tanimoto, Y. Hayashi, H. Kato, N. Tokuda, M. Ogura, D. Takeuchi,

K. Oyama, H. Ohashi, H. Okushi, S. Yamasaki, Appl. Phys. Lett. 94 (2009) 262101.

[147] H. Umezawa, K. Ikeda, R. Kumaresan, N. Tatsumi, S. Shikata, IEEE Electron

Device Lett. 30 (2009) 960.

[148] T. Teraji, Y. Garino, Y. Koide, T. Ito, J. Appl. Phys. 105 (2009) 126109.

[149] D. Takeuchi, T. Makino, H. Kato, H. Okushi, S. Yamasaki, Phys. Status Solidi A

208 (2011) 2073.

[150] K. Hirama, H. Takayanagi, S. Yamauchi, J.H. Yang, H. Kawarada, H. Umezawa,

Appl. Phys. Lett. 92 (2008) 112107.

[151] M. Kasu, K. Ueda, Y. Yamauchi, A. Tallaire, T. Makimoto, Diamond Relat. Mater.

16 (2007) 1010.

[152] J. F. Prins, Appl. Phys. Lett. 41 (1982) 950.

Page 106: Modeling and Simulation of the Programmable Metallization

93

[153] E. Kohn, M. Adamschik, P. Schmid, A. Denisenko, A. Aleksov, W. Ebert, J. Phys.

D: Appl. Phys. 34 (2001) R77.

[154] S. Koizumi, M. Kamo, Y. Sato, H. Ozaki, T. Inuzuka, Appl. Phys. Lett. 71 (1997)

1065.

[155] H. Kato, S. Yamasaki, H. Okushi, Appl. Phys. Lett. 86 (2005) 222111.

[156] H. Kato, H. Umezawa, N. Tokuda, D. Takeuchi, H. Okushi, S. Yamasaki, Appl.

Phys. Lett. 93 (2009) 202103.

[157] H. Kato, T. Makino, M. Ogura, N. Tokuda, H. Okushi, S. Yamasaki, Appl. Phys.

Express 2 (2009) 055502.

[158] H. Kato, K. Oyama, T. Makino, M. Ogura, D. Takeuchi, S. Yamasaki, Diamond &

Related Materials, 27-28, pp. 19-22, 2012.

[159] K. Oyama, S.-G. Ri, H. Kato, M. Ogura, T. Makino, D. Takeuchi, N. Tokuda, H.

Okushi, S. Yamasaki, Appl. Phys. Lett. 94 (2009) 152109.

[160] T. Makino, S.-G. Ri, N. Tokuda, H. Kato, S. Yamasaki, H. Okushi, Diamond Relat.

Mater. 18 (2009) 764.