69
B IDIRECTIONAL I NTEGRATED NEURAL I NTERFACE FOR ADAPTIVE C ORTICAL S TIMULATION by Ruslana Shulyzki A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto Copyright c 2009 by Ruslana Shulyzki

by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

BIDIRECTIONAL INTEGRATED NEURAL INTERFACE

FOR ADAPTIVE CORTICAL STIMULATION

by

Ruslana Shulyzki

A thesis submitted in conformity with the requirementsfor the degree of Master of Applied Science

Graduate Department of Electrical and Computer EngineeringUniversity of Toronto

Copyright c© 2009 by Ruslana Shulyzki

Page 2: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

II

Abstract

Bidirectional Integrated Neural Interface

for Adaptive Cortical Stimulation

Ruslana Shulyzki

Master of Applied Science

Graduate Department of Electrical and Computer Engineering

University of Toronto

2009

This thesis presents the VLSI implementation and characterization of a 256-channel

bidirectional integrated neural interface for adaptive cortical stimulation.

The microsystem consists of 64 stimulation and 256 recording channels, imple-

mented in a 0.35µm CMOS technology with a cell pitch of 200µm and total die size of

3.5mm× 3.65mm. The stimulator is a current driver with an output current range of

20µA - 250µA. The current memory in every stimulator allows for simultaneous stim-

ulation on multiple active channels. Circuit reuse in the stimulator and utilization of a

single DAC yields a compact and low-power implementation. The recording channel

has two stages of signal amplification and conditioning and asingle-slope ADC. The

measured input-referred noise is 7.99µVrms over a 5kHz bandwidth. The total power

consumption is 13.3mW.

A new approach to CMOS-microelectrode hybrid integration byon-chipAu multi-

stud-bumping is also presented. It is validated byin vitro experimental measurements.

Page 3: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

III

Acknowledgements

I would like to thank my supervisor, Professor Roman Genov, for his guidance

throughout this project. My defense committee: Professor Chan Carusone, Professor

Ng and Professor Hum for their feedback and time. CMC for fabrication access and

bonding services. Dr. Carlen for allowing us to test in his labin the Toronto Western

Hospital. Demitre Serletis for his help with the biologicalexperiments. And finally the

people from ecehelp, for all their assistance and especially for saving our tapeout during

the great network disaster of fall 2007.

I have to thank my parents, Ludmila and Aleksey Shulyzki and my sister, Anna

Shulyzki for their unlimited love and support, and for making me laugh like nobody

else can. Ora Gendler and Rika Valdman for always being there for me despite the

geographical distance and constantly varying time zones.

I am grateful to all my friends at the University of Toronto. Farzaneh Shahrokhi for

being the perfect partner in crime. Tina Tahmoureszadeh forall rain related activities.

Azadeh Khaleghi for my first trip to Ottawa. Mike Bichan for thebest morning conver-

sations on every imaginable subject. Kentaro Yamamoto for being endlessly generous

with his knowledge. Jospeh Aziz for introducing me to this project and his assistance.

Karim Abdelhalim and Hamed Mazhab-Jafari for comic relief,dry-runs, hospital trips,

bikes and birds and ice cream. Rituraj Singh, Tony Kao, Alireza Nilchi, Derek Ho,

Dustin Dunwell, Bert Leesti, Trevor Caldwell, Dave Halupka, Aleksey Tyshchenko,

Ricardo Aroca and Shahriar Shahramian. It would not have beenthe same without you.

And everybody else who stopped in the hallway to say hi and talk for a bit. Thank you.

Page 4: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

IV

Contents

List of Tables vi

List of Figures vii

List of Acronyms ix

1 Introduction 1

1.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1

1.2 System Requirements and Specifications . . . . . . . . . . . . . . .. . 2

1.2.1 Neural Stimulation . . . . . . . . . . . . . . . . . . . . . . . . 3

1.2.2 Neural Recording . . . . . . . . . . . . . . . . . . . . . . . . . 5

1.2.3 Stimulation Artifact . . . . . . . . . . . . . . . . . . . . . . . 6

1.3 Electrode-Tissue Interface . . . . . . . . . . . . . . . . . . . . . . .. 6

1.4 Bidirectional Integrated Neural Interfaces . . . . . . . . . .. . . . . . 8

1.5 Thesis Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

2 256-Channel Bidirectional Integrated Neural Interface 10

2.1 System Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

2.2 Neural Stimulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

2.2.1 Existing Current Driver Circuits . . . . . . . . . . . . . . . . . 13

2.2.2 Stimulator Architecture . . . . . . . . . . . . . . . . . . . . . . 14

2.2.3 Current Driver . . . . . . . . . . . . . . . . . . . . . . . . . . 17

2.2.4 Operational Transconductance Amplifier . . . . . . . . . . .. 18

Page 5: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

V

2.2.5 Stimulator Experimental Characterization . . . . . . . . .. . . 20

2.3 Neural Recording . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

2.3.1 Recording Channel Architecture . . . . . . . . . . . . . . . . . 22

2.3.2 Neural Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . 24

2.3.3 Sample-and-Hold Circuit and ADC . . . . . . . . . . . . . . . 32

2.3.4 Recording Channel Characterization . . . . . . . . . . . . . . . 35

2.4 Experimental Validation . . . . . . . . . . . . . . . . . . . . . . . . . 38

3 CMOS-Microelectrode Hybrid Integration 41

3.1 Multi-bumpAu Microelectrode . . . . . . . . . . . . . . . . . . . . . 42

3.1.1 Layout Techniques . . . . . . . . . . . . . . . . . . . . . . . . 43

3.1.2 Microelectrode-Chip Integration . . . . . . . . . . . . . . . . .44

3.2 CMOS-UEA Microelectrode Array Integration . . . . . . . . . . .. . 46

4 Conclusions 49

4.1 Thesis Contributions . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

4.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

Appendix 51

A Supplementary Hardware and Software Documentation 51

A.1 Board Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

A.2 MATLAB Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

A.3 FPGA Programming . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

References 56

Page 6: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

VI

List of Tables

2.1 Stimulator switch network configuration . . . . . . . . . . . . .. . . . 14

2.2 Stimulator OTA transistor sizing . . . . . . . . . . . . . . . . . . .. . 20

2.3 Comparative analysis of current mode neural stimulationarrays . . . . . 22

2.4 Telescopic OTA transistor sizing . . . . . . . . . . . . . . . . . . .. . 26

2.5 Simulated telescopic OTA electrical characteristics .. . . . . . . . . . 27

2.6 Folded cascode OTA transistor sizing . . . . . . . . . . . . . . . .. . 27

2.7 Simulated folded cascode OTA electrical characteristics . . . . . . . . . 28

2.8 Comparative analysis of fully differential neural recording channels . . 31

2.9 Comparator transistor sizing . . . . . . . . . . . . . . . . . . . . . . .35

2.10 Recording channel experimental characteristics . . . . .. . . . . . . . 36

2.11 System-level experimental characteristics . . . . . . . .. . . . . . . . 38

2.12 Comparative analysis of neural stimulation and recording systems . . . 40

Page 7: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

VII

List of Figures

1.1 A vision of an implantable adaptive neural stimulation system. . . . . . 2

1.2 A block diagram of an adaptive neural stimulation microsystem with

multiple bidirectional integrated neural interfaces. . . .. . . . . . . . . 3

1.3 Equivalent circuit model of the electrode-tissue interface. . . . . . . . . 7

2.1 Micrograph of the integrated neural stimulation and recording inter-

face implemented in a standard 0.35µm double-poly CMOS technol-

ogy. The die dimensions are 3.5mm x 3.65mm . . . . . . . . . . . . . . 11

2.2 A general implementation of biphasic stimulation. . . . .. . . . . . . . 12

2.3 Common current driver designs. . . . . . . . . . . . . . . . . . . . . . 15

2.4 (a) Stimulator architecture and (b) timing diagram for implementing a

biphasic stimulus. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

2.5 Current sink configured in (a) storage mode and (b) stimulation mode. . 18

2.6 Folded-cascode OTA in the stimulator current sink. . . . .. . . . . . . 19

2.7 Transfer characteristic of the current driver for 10kΩ load. . . . . . . . 21

2.8 Output of the current driver under variable load conditions. . . . . . . . 21

2.9 Neural recording channel architecture. . . . . . . . . . . . . .. . . . . 23

2.10 Telescopic amplifier in the first stage of the recording channel. . . . . . 25

2.11 Folded cascode amplifier in the second stage of the recording channel. . 28

2.12 Experimentally measured amplitude frequency response of the full chan-

nel with the gain set to minimum setting of 53dB. . . . . . . . . . . . .29

Page 8: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

VIII

2.13 Experimentally measured noise of the full channel withthe gain set to

54dB. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

2.14 In-channel single-slope ADC block diagram. . . . . . . . . .. . . . . 32

2.15 Timing diagram of one sample period. . . . . . . . . . . . . . . . .. . 33

2.16 Comparator in the ADC. . . . . . . . . . . . . . . . . . . . . . . . . . 34

2.17 Simulated comparator performance for worst case input. . . . . . . . . 34

2.18 Micrograph of a single recording channel. . . . . . . . . . . .. . . . . 36

2.19 Measured output spectrum for the full channel including the ADC. . . . 37

2.20 Input referred output from the channel in response to a 200µVpp sinu-

soidal input. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

2.21 (a) Original recordings of epileptic seizure spikes, and (b) the data

recorded with this system. . . . . . . . . . . . . . . . . . . . . . . . . 39

3.1 Cross section view of the stud-bump gold microelectrodeswith a brain

slice. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

3.2 (a) A single [1] and (b) a quad stud-bump gold microelectrodes. . . . . 43

3.3 Cross section view of the metal and poly layers used in the layout of the

electrode bonding pad. . . . . . . . . . . . . . . . . . . . . . . . . . . 44

3.4 Micrograph of a neural recording interface implementedin a 0.35µm

CMOS technology [2]. . . . . . . . . . . . . . . . . . . . . . . . . . . 45

3.5 Quad bump microelectrodes fabricated on the neural recording microchip

surface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

3.6 Epileptic seizure neural activity recorded through thequad-bump elec-

trodes by the neural amplifier chip shown in Figure 3.4. . . . . .. . . . 47

3.7 (a) The UEA. (b) Top view of the UEA attached to the die. (c)UEA

on the packaged die with insulated bonding wires. (d) Top view of the

UEA in the package with insulated bonding wires. . . . . . . . . . .. . 48

A.1 The PCB designed for characterizing the adaptive neural stimulation

interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

Page 9: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

IX

List of Acronyms

ADC analog-to-digital converter

NEF noise efficiency factor

SC switched-capacitor

S/H sample-and-hold

EEG Electroencephalograph

PSNR peak signal-to-noise ratio

SEM scanning electron microscopy

OTA operational transconductance amplifier

UEA Utah electrode array

HPF high-pass filter

LPF low-pass filter

BPF band-pass filter

ACSF artificial cerebrospinal fluid

CPE constant phase element

VDS drain-to-source voltage

Page 10: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

X

SAR successive approximation

CMFB common-mode feedback

CMRR common-mode rejection ratio

PSRR power supply rejection ratio

CDS correlated double sampling

SNR signal-to-noise ratio

VLSI very-large-scale integration

DAC digital-to-analog converter

OTA operational transconductance amplifier

TIA transimpedance amplifier

FOM figure of merit

RMS root mean square

IC integrated circuit

HDL hardware description language

CAL common analog line

SOI silicon on insulator

Page 11: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

1

Chapter 1

Introduction

1.1 Motivation

Adaptive neural stimulators with closed loop feedback are apromising new technol-

ogy in neurology and neural engineering. It has been shown that applying a stimulus

in response to a neural event can be useful in treating neurological disorders such as

epilepsy [3]. A system that acquires neural activity, processes the data and then, when

it detects a certain neural behaviour, responds with a stimulus of a certain type, can

be utilized in the treatment of such neurological disorders. Electrical stimulation is

already being used for treatment of neural disorders such asParkinson’s disease and

depression [4, 5]. Monitoring the neural response of patients often requires them to be

confined to a hospital bed. A minimally invasive implantablesystem that can perform

both neural stimulation and real-time monitoring can make the therapy less burden-

some.

Figure 1.1 shows the main components of the envisioned cortical adaptive neural

stimulation system. The integrated neural interface modules are implanted in various

locations of the cortex. They communicate with a signal processing and data commu-

Page 12: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

1.2. SYSTEM REQUIREMENTS ANDSPECIFICATIONS 2

BIDIRECTIONAL

INTEGRATED

NEURAL INTERFACE

IMPLANTED WIRELESS

TRANSCEIVER AND SIGNAL

PROCESSING MODULE

WIRELESS TRANSCEIVER

Figure 1.1: A vision of an implantable adaptive neural stimulation system.

nication module that is also implanted. The power to this module is supplied wirelessly

by means of inductive coupling by another module that is placed outside on the skin.

This work focuses on the design and fabrication of the bidirectional integrated neu-

ral interface. Its architecture is outlined in Figure 1.2. It consists of the stimulators, the

recording channels and the microelectrode array.

1.2 System Requirements and Specifications

The high density of neural networks in biological tissue requires a large number of

electrodes to obtain the most accurate representation of neural activity, and for better

control over the location of the stimulation sites [6]. Several microelectrode technolo-

gies are currently available for use with integrated systems for neural recording and

stimulation. The Utah electrode array (UEA) consists of an array of 1 to 1.5mm long

silicon electrodes with a pitch of 400µm. Michigan probe [7] is another silicon based

microelectrode assembly. Its long shanks incorporate multiple recording and stimula-

Page 13: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

1.2. SYSTEM REQUIREMENTS ANDSPECIFICATIONS 3

RECORDING

PARALLEL NEURALSIGNAL

PROCESSING

PARALLEL NEURAL

STIMULATIONWIRELESS

TRANSCEIVER

AND

IMPLANTED

BIDIRECTIONAL INTEGRATED NEURAL INTERFACE

Figure 1.2: A block diagram of an adaptive neural stimulation microsystem with multiple bidi-rectional integrated neural interfaces.

tion sites. Other silicon based electrode arrays are currently being developed such as

the one utilizing silicon on insulator (SOI) [8].

To record neural data from multiple sites (e.g., to monitor spatial propagation of

neural activity) and to stimulate at different locations, it is important to integrate multi-

ple circuit channels on a single chip. When integrating a large number of recording and

stimulation sites, power dissipation becomes a major constraint. Power dissipation has

to be minimized for two reasons. To prevent damage to the tissue and the electrodes,

the temperature at the implant site cannot rise by more than 1C, which corresponds to

a maximum power density of 0.8mW/mm2 [9,10]. In addition, for implantable systems

lower power consumption means longer battery life. Anotherconstraint is on the size

of the the implantable microsystem. A small form factor is required to ensure minimal

damage and tissue displacement during implantation [7].

1.2.1 Neural Stimulation

Stimulation arrays have been extensively researched and developed for use in cochlear

and retinal prostheses [11–13]. Electrical stimulation ofexcitable neural tissue occurs

whenever charge is delivered to the tissue through an electrode. Safety is a major con-

cern in the design of implantable neural stimulators. Adverse chemical reactions such

Page 14: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

1.2. SYSTEM REQUIREMENTS ANDSPECIFICATIONS 4

as electrolysis, pH changes and tissue and electrode damagecan occur whenever the

tissue is exposed to prolonged DC currents or anything else that results in charge ac-

cumulation in the tissue. It is therefore essential that thestimulator provides maximum

control over the charge delivered and retracted to and from the tissue, respectively. The

issue of charge balancing has been under extensive researchand various schemes and

approaches have been used towards this goal as summarized in[14].

Neural stimulators usually employ one of three approaches to transfer charge to neu-

ral tissue. Constant current stimulation establishes a wellcontrolled current between

pairs of electrodes for a short amount of time. Constant voltage stimulation establishes

current flow by controlling the voltage at the electrode site. And charge based stimula-

tion utilizes switched capacitor networks to deliver charge directly to the tissue.

Voltage controlled stimulation has the advantage of higherpower efficiency [15].

The main drawback of voltage controlled stimulation however is the lack of control

over the charge delivered to the tissue. Tissue impedance varies from a nominal value

of 10kΩ due to cellular reactions [16]. Also, since the electrode tissue interface has

a capacitive component, the instantaneous current betweenelectrodes is not well con-

trolled. Current controlled stimulation offers direct control over the charge delivered

to the tissue due to the linear relationship between charge and current. Currents in the

range of 10µA - 1mA are sufficient to invoke a neural response [17]. Charge controlled

stimulation is still in its early stages of development [18].

Voltage compliance of neural stimulators refers to the amount of voltage swing

(headroom) available at the output stage. Higher voltage compliance will allow the

current driver to supply wider range of currents to a given load. Maximizing the output

impedance will allow the current driver to maintain constant current under variable load

conditions.

It is desirable to have maximum control over the stimulationwaveform. Stimula-

Page 15: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

1.2. SYSTEM REQUIREMENTS ANDSPECIFICATIONS 5

tors usually contain a digital-to-analog converter (DAC) tocontrol the output current

amplitude. Some designs use current mode DACs as the output stage of the stimula-

tor [13,19,20], others use a voltage mode DAC and interface it with a voltage to current

converter circuit [21]. For arbitrary waveform generation, which provides the highest

functional flexibility, high resolution is required. The resolution is limited by the area

allocated for the stimulator, since a DAC is commonly incorporated in every channel.

A stimulator array that does not require a dedicated DAC at every output stage would

reduce the area and the power consumption of a neural stimulation and recording sys-

tem.

For maximum functional flexibility the stimulator array hasto stimulate simultane-

ously at multiple electrode sites. This capability requires the incorporation of memory

into every stimulator block. Stimulators that are integrated with DACs will need digital

memory with an area that is proportional to the resolution ofthe DAC. Sharing a DAC

among all the stimulators in the array requires an accurate method to store the current

information in analog form.

1.2.2 Neural Recording

Extracellular neural action potentials vary in their amplitude approximately between

20µV and 500µV [22,23]. For example, epileptic neural activity is a result of synchro-

nized firing of populations of neurons with an amplitude of several millivolts [24, 25].

These signals occupy a frequency band of 0.1Hz - 5kHz. A neural recording channel

has to detect and amplify these low amplitude signals, whilekeeping the input referred

noise of the channel below the background noise at the recording site, which is typically

5-10µV [6]. Substrate noise due to the digital circuits on the samechip can degrade the

quality of the recorded signal, requiring a fully differential architecture. DC offset and

drift are a common problem at the electrode site and can get aslarge as 1V [6,22].

Page 16: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

1.3. ELECTRODE-TISSUE INTERFACE 6

To be able to interface with a wireless data communication link and neural data pro-

cessors the data has to be digitized. There are different approaches to incorporating an

analog-to-digital converter (ADC) on a chip with a large number of recording channels.

Single-ADC and column-parallel architectures don’t lend themselves well to scaling

and require a redesign when the array size changes as their sampling rate depends on

the number of channels. Designs with an ADC in each channel are scalable and easily

adjust for various applications.

1.2.3 Stimulation Artifact

When stimulation and recording functions are combined in thesame system the issue of

stimulation artifact has to be addressed. The stimulation artifact refers to the temporary

disturbance caused to the neural recording channel by the charge that accumulates on

the electrode-tissue interface as a consequence of neural stimulation. During stimula-

tion the tissue electrode interface is exposed to signals that are far larger (millivolts and

higher) than the neural signals the recording channels weredesigned for (microvolts

to millivolts). This can saturate the recording channels and create a delay between the

application of stimulus and normal recording operation. The recording channel has to

be able to return to normal functionality as fast as possibleafter stimulation.

1.3 Electrode-Tissue Interface

The tissue-electrode interface acts as a load during stimulation and a signal source

during recording. Therefore the characterization of its impedance is of particular im-

portance. The first-order-model for the tissue-electrode interface is shown in Fig-

ure 1.3 [26, 27]. ZCPE is a constant phase element that represents the interface ca-

pacitance of the electrode. It is given by

Page 17: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

1.3. ELECTRODE-TISSUE INTERFACE 7

ZCPE

Rct

RS Rtissue

+-

ZCPE

Rct

RSVsig Vos

in+ in-

electrode A electrode B

Figure 1.3: Equivalent circuit model of the electrode-tissue interface.

ZCPE(w) =1

(jwQ)n, (1.1)

whereQ is the measure of the magnitude ofZCPE, n is a constant between 0 and

1. ZCPE is a purely capacitive impedance ifn = 1. For commonly used stimulation

microelectrodes the capacitance value is typically 0.16nF- 16nF.Rct is the transfer

resistance that is linearly related to the oxidation and reduction currents that flow across

the electrode-tissue interface at equilibrium. Its value is typically in the order of 100MΩ

- 10GΩ. The series resistance,RS, and the tissue resistance,Rtissue, when lumped

together are typically around 10kΩ. Vsig models the low amplitude neural signals.Vos

models the DC offset at the electrode tissue interface, which can be as large as 1V [6,

22].

While this first-order-model is a good approximation for design purposes, real electrode-

tissue interface is non-linear and time variant. For this reason the circuits directly inter-

facing with the electrodes should be able to tolerate some deviation from the nominal

parameters [16].

Page 18: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

1.4. BIDIRECTIONAL INTEGRATED NEURAL INTERFACES 8

1.4 Bidirectional Integrated Neural Interfaces

Several designs focusing on integrated neural stimulationand recording systems have

been reported [28–31]. The design in [28] is a 128-channel integrated neural recording

and stimulation interface implemented in a 0.6µm CMOS technology. The record-

ing channel is fully differential, with a column-parallel ADC architecture. The power

consumption for the recording channel is 787µW. The stimulator is a class-AB buffer

consuming 150µW standby power. The area per channel is 0.33mm2. The voltage-

mode stimulators utilize a single on-chip DAC and require multiplexing to stimulate

on multiple channels. The design in [29] has 8 recording channels and 64 stimulation

channels implemented separately on the chip. Implemented in a 0.18µm CMOS tech-

nology it occupies an area of 4.48mm2. The recording channels share a single ADC

and consume a total power of 182µW. The current-mode stimulators incorporate a DAC

in every channel and consume 89µW. The design in [30] is implemented in a 0.35µm

CMOS technology, and includes 16 recording and stimulation channels, each occupying

an area of 0.045mm2. The recording channel is a single-ended amplifier/filter consum-

ing 145µW. The output of the recording channel is analog. The stimulator is a buffer

with analog input. The design in [31] is a 128-channel systemwith a fully differential

channel with a column-parallel ADC, and a class-AB buffer as the stimulator. Imple-

mented in a 0.35µm CMOS technology, it consumes 12.75µW in its recording channel

and 51µW in the stimulation buffer. The voltage-mode stimulator incorporates memory

and is capable of stimulating on all channels simultaneously.

1.5 Thesis Organization

This thesis presents a 0.35µm CMOS VLSI implementation of a bidirectional neural

recording and stimulation microsystem with 64 neural stimulation circuits, and 256

Page 19: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

1.5. THESISORGANIZATION 9

fully-differential neural recording channels, each with an in-channel ADC. The stim-

ulators are fully programmable with square or arbitrary waveforms. The memory in

every stimulator allows for simultaneous stimulation on all active channels, which can

not be achieved with conventional multiplexing. The stimulators provide a wide range

of functionality and occupy only 0.02mm2 per channel. This is achieved by re-using the

opamp in the stimulator in two different configurations, andsharing a single DAC (off-

chip). These techniques also result in low quiescent power consumption of 2.76µW per

stimulator. The recording channel is fully differential, occupying an area of 0.03mm2

and consuming 51.9µW. The sampling and quantization is performed simultaneously on

all channels. This design targets hybrid integration with the UEA with custom Iridium

tips, as needed for neural stimulation with lower electrodeinterface impedance.

The remainder of this thesis is organized as follows:

• Chapter 2 discusses the system architecture and very-large-scale integration (VLSI)

circuit implementation of the bidirectional integrated neural interface for adaptive

cortical stimulation. The experimental results obtained from a 0.35µm standard

CMOS prototype of the neural interface are also presented.

• Chapter 3 presents a low-cost technique for fabricating microelectrode arrays on

the surface of the chip.In vitro experimental measurements obtained through

these electrodes with an existing neural interface are alsoshown.

• Chapter 4 concludes the thesis and outlines future research directions.

Page 20: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

10

Chapter 2

256-Channel Bidirectional Integrated

Neural Interface

This chapter presents the architecture, VLSI circuit implementation and experimental

results of the bidirectional integrated neural interface.

2.1 System Architecture

The neural recording and stimulation microsystem was fabricated in a standard 0.35µm

double-poly CMOS technology. Figure 3.4 shows the micrograph of the die. The die

dimensions are 3.5mm x 3.65mm. The microsystem consists of an array of 8×8 neu-

ral stimulation circuits, and 16×16 fully differential neural recording channels with a

single-slope ADC in each channel.

Top metal electrode bonding pads are arranged in a 16×16 array with a 200µm

pitch. Each electrode is interfaced with a recording channel. One of every four elec-

trodes is connected to a programmable current-mode stimulator. This results in a 400µm

pitch of stimulation electrodes. This pitch is chosen to match that of the UEA for direct

on-chip microelectrode hybrid integration.

Page 21: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.1. SYSTEM ARCHITECTURE 11

400µm

400µm

16x16 ARRAY

REC.

CHANNEL

REC.

CHANNEL

REC.

CHANNEL

REC.

CHANNEL

STIMULATOR

Figure 2.1: Micrograph of the integrated neural stimulation and recording interface imple-mented in a standard 0.35µm double-poly CMOS technology. The die dimensions are 3.5mm x3.65mm

Page 22: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.2. NEURAL STIMULATOR 12

ZL

Iout

CurrentDriver

A B

Iout

φ1 φ2

φ1

φ1

φ2

φ2

Figure 2.2: A general implementation of biphasic stimulation.

2.2 Neural Stimulator

In this design current controlled stimulation was implemented due to the control it pro-

vides over the charge delivered to the tissue. The stimulators are individually address-

able and any subset of them can be disabled or enabled. The enabled stimulators are

capable of truly simultaneous stimulation without multiplexing. The stimulator output

stage is designed to supply biphasic stimulation current tothe tissue with impedance

ZL as illustrated in Figure 2.2.

Each electrode site that is connected to a stimulator can be connected either to the

current driver or the supply. During the anodic phase,φ1 is high and the current is

flowing from siteA to site B. During the cathodic phaseφ2 is high and current is

flowing from siteB to siteA. The amplitude and duration of the current pulses during

the two phases need not be the same. As long as the area under the two waveforms is

the same the charge that was delivered to the tissue is retracted from the tissue. The

stimulator is fully programmable and the amplitude and the duration of each pulse can

Page 23: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.2. NEURAL STIMULATOR 13

be set independently.

Precise current control is essential in the current driver design. High accuracy and

linearity are important design considerations. In addition, due to the variable nature of

the load impedance the output impedance of the current driver has to be maximized in

order to deliver constant current under a wide range of conditions.

In addition to stimulating with a precisely controlled biphasic waveform other steps

are taken to ensure charge balancing. To prevent DC currents, all stimulating sites that

are not in use are configured as a high impedance node. During stimulation all sites can

be connected to a common analog line (CAL) in order to redistribute any charge that

has accumulated at the electrode-tissue interface.

2.2.1 Existing Current Driver Circuits

There are several approaches to setting the output current of a stimulation current driver.

One way is shown in Figure 2.3(a) [29]. A current mode DAC is used to set the current

which is then copied through a current mirror to the output. This design suffers from

high power consumption, which can be reduced by moving the DAC to the output path,

as shown in Figure 2.3(b) [12]. The output impedance of this topology is of the order

of ro of the output transistor.

It is desirable to increase the output impedance of the current driver to reduce the

sensitivity of the output current to load impedance. Introducing another transistor to

the output path with active feedback significantly increases the output impedance of the

current driver. Figure 2.3(c) demonstrates this technique. The output current is set by

the voltage-mode DAC that drives the gate of transistorM1. If Vref is sufficiently small

transistorM1 will be biased in triode and used as a voltage controlled resistor [21]. The

output impedance is now increased significantly. The linearity of the transistor in triode

region is compromised by the effect of mobility degradation, making the drain cur-

Page 24: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.2. NEURAL STIMULATOR 14

Table 2.1: Stimulator switch network configuration

State ON Switches PhaseHigh Impedance - Setup/ActiveCAL S5 ActiveVDD S4 ActiveCurrent Storage S1, S3 SetupCurrent Delivery S2, S3 Active

rent not linear with the overdrive voltage. The compensation circuit introduced in [21]

increases the power consumption of the stimulator. One way to prevent the linearity

problem is to reintroduce the current mode DAC to the output current path, as shown in

Figure 2.3(d) [19].

None of the designs described above incorporate memory in the stimulator. This

means that truly simultaneous stimulation cannot be performed on several channels.

Another disadvantage is the necessity to include a DAC with every stimulator circuit,

which increases the area of the stimulator.

2.2.2 Stimulator Architecture

The choice of the stimulator architecture was dictated by the low area, low power and

high output impedance design constraints. The programmable stimulator consists of

three major blocks: digital memory, digital control and a current driver. This is illus-

trated in Figure 2.4(a).

The code (m0, m1) stored in the digital SRAM memory acts as the input to the

control logic block and along with theClock andPhase inputs configures the switch

network to put each site into its desired operation mode. Thedifferent states and the

corresponding switch configurations are described in Table2.1.

The stimulator operates in two phases: setup phase and active phase. This is illus-

trated in Figure 2.4(b) for two stimulation sites,A andB. During the setup phase the

Page 25: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.2. NEURAL STIMULATOR 15

DAC

ZL

Din

A

B

Iout

1 : n

IDAC

M1 M2

(a)

DAC

ZL

Din

A

B

Iout

(b)

DAC

ZL

Din

A

BIout

-+Vref

M1

M2

(c)

DAC

ZL

Din

A

BIout

-+Vref

M2

(d)

Figure 2.3: Common current driver designs.

Page 26: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.2. NEURAL STIMULATOR 16

Digital Memory

Digital Control

Current Driver

PhaseClock

Iin

Electrode

VDD CAL

-

+Vin

S1

S1

S2

S2

S3

S1

S2

S2

S4 S5

S1

S2S1 S3 S4 S5

Isource

M1

M2

m0m1

Cc

Cmem

Vd

(a)

S1A

S2A

S3A

S4A

S1B

S2B

S3B

S4B

Phase

Storecurrent

at A

Storecurrent

at B

Stim.enabled

A to B

Stim.enabledB to A

Clock

ActiveSetup

(b)

Figure 2.4: (a) Stimulator architecture and (b) timing diagram for implementing a biphasicstimulus.

Page 27: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.2. NEURAL STIMULATOR 17

sites are sequentially addressed and the digital memory is programmed with a 2-bit code

which represents the state the output stage of the stimulator will be in during the active

phase. Incorporation of memory in the stimulator ensures truly simultaneous operation

and prevents unwanted current flow due to timing mismatches.

2.2.3 Current Driver

The current driver presented here achieves the task of storing the stimulation current

and stimulating through the same path, without requiring a DAC in every stimulator

circuit. This provides an advantage in terms of accurate current copying, small area and

low power consumption.

The main components of the current driver are an operationaltransconductance

amplifier (OTA), and two transistorsM1 (4×5.5µm/0.5µm) andM2 (4×8µm/0.5µm).

These components are configured with switches into two different circuits for the pur-

poses of current storage (during setup phase) and current driving (during active phase).

The two circuits can be seen in Figure 2.5.

Figure 2.5(a) shows the current driver configured for current storage. In this state

the circuit is a constantVDS current copier [32]. The desired current is flowing through

transistorM1. The drain voltage of transistorM1 is held constant at the potential of

Vin through the OTA feedback, which compensates for the effect of channel length

modulation. The gate voltage ofM1 is driven by the OTA to the proper level and is

stored in the capacitorCmem.

Figure 2.5(b) shows the current driver during the active phase, configured as a typi-

cal current sink. The negative feedback aroundM2 serves two purposes. First it boosts

the output impedance of the current sink. This is a well established gain boosting tech-

nique that by increasing the output impedance of the currentdriver makes it less sen-

sitive to the load impedance [19, 21]. Second, it forces the drain voltage ofM1 to the

Page 28: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.2. NEURAL STIMULATOR 18

M1

M2

+

-

Iin

Vin

Cc

Cmem

Electrode

Vd

(a)

M1

M2

+

-

Vin

Cmem

Cc

ElectrodeIout

Vd

(b)

Figure 2.5: Current sink configured in (a) storage mode and (b) stimulation mode.

potentialVin. This together with the gate voltage that is set byCmem ensures that the

current that flows through it is as close as possible to the current during the storage

phase.

CapacitorCc is a compensation capacitor and it is used to stabilize the node Vd

during the switching from one configuration to the other.

The incorporation of analog memory in each current driver has two benefits. First,

area is saved because the DAC can be placed outside of the celland shared by all the

channels. Second, stimulation can be performed on all enabled sites simultaneously.

2.2.4 Operational Transconductance Amplifier

To maximize the output impedance of the current sink the OTA needs to have large gain

as seen in

Page 29: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.2. NEURAL STIMULATOR 19

M1 M2

M3 M4

M5 M6

M7 M8

M10M9MpVbiasP

Vin

Vout

+

-

Vcasc1

Vcasc2

Figure 2.6: Folded-cascode OTA in the stimulator current sink.

Rout ≈ Agm2ro2ro1, (2.1)

whereA is the gain of the OTA,gm2 is the transconductance of transistorM2, ro2 is the

output impedance of transistorM2 andro1 is the output resistance of transistorM1.

The OTA has a PMOS input folded-cascode configuration, as shown in Figure 2.6. It

provides a gain of 93dB at a common mode input ofVin = 300mV. The OTA consumes

a bias current of 0.88µA. The transistor sizes are given in Table 2.2.

Page 30: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.2. NEURAL STIMULATOR 20

Table 2.2: Stimulator OTA transistor sizing

Transistor W/L(µm)M1,2 2/4M3,4 1/5M5,6 1/6M7,8 1/4M9,10 1/4Mp 1/5

2.2.5 Stimulator Experimental Characterization

The stimulator was experimentally characterized by loading the stimulation current

through an external resistor. Figure 2.7 compares the measured and simulated input-

output characteristics of the current driver. The output current is lower than the input

current due to the effects of charge injection at the gate of transistorM1. Due to the

systematic nature of this error the mismatch can be compensated for in software.

Figure 2.8 shows the the simulated and measured performanceof the current driver

under variable load conditions. The plot clearly shows the operating region of the cur-

rent driver. The voltage at the electrode site can be as low as700mV, resulting in a

voltage compliance of 2.6V if the supply voltage is set to 3.3V. Currents above 250µV

will drive the circuit out of the linear region even for the nominal load of 10kΩ.

In Table 2.3 this design is compared with other current mode stimulators published

in [19], [33], [29], and [34]. Previously published multi-channel stimulators all re-

quire multiplexing and cannot stimulate on many channels simultaneously. The larger

currents up to 1mA are achieved by having a power supply higher than that in [29].

The designs in [19, 34] also utilize active feedback in orderto maximize the output

impedance. This design has the lowest reported area.

Page 31: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.2. NEURAL STIMULATOR 21

0 50 100 150 200 250 3000

50

100

150

200

250

300

INPUT CURRENT (µA)

OU

TP

UT

CU

RR

EN

T (

µA)

O SimulatedX Measured

Figure 2.7: Transfer characteristic of the current driver for 10kΩ load.

0 10 20 30 40 50 60

20

40

60

80

100

120

LOAD RESISTOR (kΩ)

OU

TP

UT

CU

RR

EN

T (

µA

)

O SimulatedX Measured

Figure 2.8: Output of the current driver under variable load conditions.

Page 32: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 22

Table 2.3: Comparative analysis of current mode neural stimulation arrays

Technology[µm]

ChannelsChannelArea[mm2]

DACSupplyVoltage[V]

OutputCurrent(ZL=10kΩ)[µA]

Memory

[19]1 4 0.38

per-channel

6 200-1000 No

[33]1.5 8 0.6 shared ±6 0-600 No

[29]0.18 64 -

per-channel

1.8 0-10 No

[34]1.5 32 0.1

per-channel

5 0-270 No

Thiswork

0.35 64 0.02 shared 3.3 20-250 Yes

2.3 Neural Recording

This design includes an array of fully differential digitalneural recording channels in

order to adapt stimulation. Each recording channel is interfaced with a microelectrode

site. The non-inverting input of the recording channel is connected to the local elec-

trode. The inverting input of the recording channel has an option to either be connected

to an adjacent electrode or a common reference electrode, which can be off-chip. These

two configurations allow for two types of neural recordings:a localized differential

recording, and a global recording obtaining signals from a wider spatial area with re-

spect to a common reference.

2.3.1 Recording Channel Architecture

The architecture of the recording channel is depicted in Figure 2.9. Each recording

channel consists of two stages of filtering and amplification, a sample-and-hold circuit

and a single slope ADC.

Page 33: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 23

Cin

Cf

gm

Cf

gm

Cin

Cf Cf

Cin

CloadCin

Cload

Vin &

VresVres

VresVres

D[0..7]S/H

ADC

Figure 2.9: Neural recording channel architecture.

The channel is fully differential to reduce the common mode noise that results from

having both digital and analog circuits on the same substrate. Distributing the overall

gain over two stages helps achieve large gain while maintaining smaller capacitor sizes

and higher linearity.

The differential signal transfer function of a single stageis

T (s) =sCin

Cf

s + 1

Rf Cf

, (2.2)

whereRf refers to the resistance of the feedback. The absolute sizesof the capacitors

were limited by the available area, whereas the relative sizes are chosen to meet the

channel specifications.

The closed loop gain is determined by the productCin/Cf × C ′

in/C′

f . In the first

stageCin=4pF andCf=100fF which results in a gain of 32dB. In the second stage a

variable gain amplifier is implemented by using a programmable bank of capacitors in

the feedback. The input capacitor isC ′

in=2.5pF. The capacitance in the feedback can be

Page 34: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 24

programmed from 25fF to 200fF. This results in eight gain modes from 22dB to 40dB.

The overall gain of the two stages is therefore adjustable from 54dB to 72dB. The input

capacitors also play a role in DC offset rejection at the input terminals.

The high pass corner frequency is determined by1/(2πRfCf ), whereRf is the feed-

back resistance. SinceCf had to be small to ensure large gain,Rf has to be maximized

to set the high pass corner frequency as low as possible. A good way to implement

large resistance in CMOS technology without sacrificing areais to use a MOS device

in subthreshold region [35]. In this design four PMOS transistors are combined in

series to maximize the resistance. The HP cut-off frequencyis tunable from 0.1Hz to

10Hz by adjusting the control voltageVres. The first stage low pass cut-off frequency

is set to 5kHz by the combined effect of the load capacitorCload and the bias current of

the amplifier. By changing the bias current of the amplifier it can be set from 5kHz to

10kHz.

Periodic reset may be necessary every several minutes to compensate for DC drift

caused by junction leakage [28]. Resetting the feedback resistors is also used in quick-

ening the recovery from saturation due to the stimulation artifact. By settingVres=0V

the amplifiers are configured in buffer mode which allows for faster recovery from sat-

uration.

2.3.2 Neural Amplifier

The main considerations in the design of the neural amplifierare noise and power con-

sumption, one coming at the expense of the other. Various OTAtopologies have been

used for the implementation of neural amplifiers: folded cascode, current mirror and

two stage [27,28,36,37]. The common factor with these topologies is the large output

swing. Large output swing is important at the output stage ofthe amplifier, but comes

at a cost of higher power consumption due to the large number of current branches.

Page 35: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 25

Vin-

VbiasP

VcascP

VcascN

M1

M3

M5

M7

Mp

M4

M2

M6

M8

Vin+

Vout- +

M12M11Vout+ Vout-

M15

Vcm

M10M9

M13

M16

M14

Figure 2.10: Telescopic amplifier in the first stage of the recording channel.

Since in this design the gain is distributed over two stages awide swing topology for

the first stage OTA is not needed. The telescopic OTA saves power in the first stage

while maintaining low input referred noise level.

The fully differential telescopic OTA of the first stage and the common-mode feed-

back (CMFB) circuit are shown in Figure 2.10. Transistor sizesin this amplifier are

listed in Table 2.4.

Two types of noise have to be accounted for in the design of thethe telescopic OTA.

Both can be modeled as voltage sources in series with the input. The input referred

thermal noise per unit bandwidth is

V 2n = 2

[

4kT

gm1

(

2

3

)(

1 +gm7

gm1

)]

. (2.3)

Page 36: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 26

Table 2.4: Telescopic OTA transistor sizing

Transistor W/L(µm) gm(µA/V )M1,2 4 × 5.35/7 9.97M3,4 2 × 3/12 5.78M5,6 1.5/10 5.36M7,8 1/20 2.98Mp 2/6 6.65M9,10 1/3.25 2.38M11,12,13,14 1/20 1.22M15,16 1/20 3.01

To minimize the thermal noise contribution, transistorsM1,2,7,8 have to be biased such

thatgm7,8 << gm1,2. For a fixed bias current that means that the sizes should be such

thatW/L|7,8 << W/L|1,2, which putsM1,2 in weak inversion andM7,8 in strong in-

version. Increasing the bias current will further increasegm1,2 resulting in additional

reduction of the thermal noise component at the expense of higher power dissipation.

The input referred flicker1/f noise per unit bandwidth is

V 2n = 2

[

KP

CoxW1L1

+KN

CoxW7L7

(

gm7

gm1

)2]

1

f. (2.4)

The major contribution of1/f noise comes from transistorsM1,2. Reduction in1/f

noise is achieved by choosing the input transistors to be of the PMOS type which have

a lower1/f noise coefficient than NMOS transistors (KP < KN ), and maximizing the

gate area of these transistors.

The CMFB circuit [38] is designed with the same bias current asthe amplifier. The

output swing of the CMFB circuit is maximized by increasing the overdrive voltage of

the input transistorsM11−14. For a given bias current this is achieved by sizingM11−14

to have the minimalW/L ratio. The simulated characteristics of the first stage OTA are

outlined in Table 2.5.

Page 37: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 27

Table 2.5: Simulated telescopic OTA electrical characteristics

DC Gain 98dBUnity Gain Frequency (12pF) 690kHzLoop Gain Unity Gain Frequency 23kHzOutput Voltage Swing 0.5Vpp

Total Bias Current (with CMFB) 2.8µA

Input-Referred Thermal Noise 60.2nVrms/√

HzSupply Voltage 3.0V

Table 2.6: Folded cascode OTA transistor sizing

Transistor W/L(µm)M1,2 2 × 1/4M3,4 1/6M5,6 1/15M7,8 1/12M9,10 1/15Mp 1/6M11,12 1/6.3M13,14,15,16 1/20M17,18 1/6

In the design of the second stage OTA a higher noise level can be tolerated due to

signal amplification in the first gain stage. The focus is on minimizing power dissipation

and having adequate output swing. A folded cascode OTA was chosen for the second

stage with a total bias current of1.5µA, which is almost half of the first stage bias

current. The CMFB circuit in the folded cascode OTA is the sameas the one in the

telescopic OTA. The schematic of the folded cascode OTA and the CMFB circuit is

shown in Figure 2.11. The sizes of the transistors in this implementation are listed in

Table 2.6. The simulated characteristics of the folded cascode OTA are presented in

Table 2.7.

The experimentally measured amplitude frequency responseof the two filtering and

amplification stages is shown in Figure 2.12. The gain was setto the minimum and the

high pass corner frequency was adjusted by changing the biasvoltage of the feedback

Page 38: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 28

M1 M2

M3 M4

M5 M6

M7 M8

M10M9MpVbiasP

Vin Vout

+

-+ -

VcascN

VcascP

M12M11

Vout+ Vout-

M15

Vcm

M13 M16M14

M17 M18

Figure 2.11: Folded cascode amplifier in the second stage of the recording channel.

Table 2.7: Simulated folded cascode OTA electrical characteristics

DC Gain 91dBUnity Gain Frequency (250fF) 1.2MHzOutput Voltage Swing 1.7Vpp

Total Bias Current (with CMFB) 1.5µASupply Voltage 3.0V

resistors.

The plot of the experimentally measured input-referred noise of the two stages is

shown in Figure 2.13. Integrating the noise over the bandwidth of 10Hz-5kHz results

in the overall input-referred noise of 7.99µVrms.

Table 2.8 compares the recording channel presented here to the neural recording

channels published in [37], [28], and [31]. The design in [31] reports the lowest noise

efficiency factor (NEF). It however only characterizes the first stage of the recording

channel which results in lower gain. The low input-referrednoise reported in [28]

comes at the expense of increased power consumption.

Page 39: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 29

0.1 1 10 100 1000 10000 10000030

32

34

36

38

40

42

44

46

48

50

52

54

FREQUENCY(Hz)

GA

IN(d

B)

Vres=1V

Vres=1.5V

Vres=2V

Figure 2.12: Experimentally measured amplitude frequency response of the full channel withthe gain set to minimum setting of 53dB.

Page 40: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 30

10 100 1000 100000

0.2

0.4

0.6

0.8

1

FREQUENCY (Hz)

INP

UT

−R

EF

ER

RE

D N

OIS

E (

µV

/sqr

t(H

z))

Vni,rms

= 7.99 µVrms

Figure 2.13: Experimentally measured noise of the full channel with the gain set to 54dB.

Page 41: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3

.N

EU

RA

LR

EC

OR

DIN

G3

1

Table 2.8: Comparative analysis of fully differential neural recording channels

Channel TopologyTechnology[µm]

Noise[µV ]

Bandwidth[Hz]

Power[µW ]

Voltage[V]

NEFGain[dB]

Area[mm2]

[37] Two-stage 0.5 7.8 0.1 - 10k 114.8 3 18.7 40 0.107[28] Folded cascode 0.6 5.9 10 - 100k 160 5 8.1 20 0.062[31] Telescopic 0.35 6.08 10 - 5k 8.4 3 5.55 33 0.02Thiswork

Telescopic andfolded cascode

0.35 7.99 10 - 5k 12.9 3 8.9 53 0.03

Page 42: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 32

COUNTERRAMPGENERATOR

W

Vref

D[0..7]

Vin

φs φr

+

-

+

-

S/H

RS[0]

Bin[0..7]

~W

EN

CS[0]

SRAM

Figure 2.14: In-channel single-slope ADC block diagram.

2.3.3 Sample-and-Hold Circuit and ADC

The architecture of the in-cell fully differential single-slope ADC is shown in Fig-

ure 2.14. Considering the amplitude of the neural signals andthe background noise

at the recording site, an ADC with 8-bit resolution is sufficient for this application [22].

The quantization of the recorded sample is performed simultaneously on all channels

during the read phase. This allows for rapid parallel evaluation of the entire frame.

The in-channel ADC consists of a fully differential comparator and an 8-bit SRAM

block. The differential ramp for the comparator and the 8-bit data is generated off-

chip by a ramp generator and a counter. Both the ramp generatorand the counter are

common to all ADCs as quantization is taking place simultaneously on all channels. In

future implementations the ramp generator and the counter can be implemented on chip

as one of the peripheral circuits without significant area and power overhead if used for

a large number of channels.

To properly quantize the neural data one needs to sample at a Nyquist rate of twice

the low-pass cut-off frequency with an additional margin toallow for the roll-off of

the filter. This results in a sampling rate of 15kHz per channel, or 65µs sample time.

All channels are sampled simultaneously with the sample being stored in the analog

memory as described in [39]. This takes 32µs. Then the quantization begins on all

Page 43: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 33

FRAME SAMPLE PERIOD

S/HSAMPLE ADC

ARRAYSCAN-OUT

32 µs

φs

φr

Vin

Vref

WBin[0..7]RS∗ CS

20 µs 13 µs

65 µs

Figure 2.15: Timing diagram of one sample period.

channels simultaneously and takes 20µs, which is the time it takes the counter to count

to 256 with a clock of 12.5MHz. The data is then read out by addressing each channel

sequentially with 4-bit row/column-select signals passedthrough decoders. The readout

rate is 20MHz, limited by the instrumentation data rate. It takes 13µs to read out all 256

channels. This is represented in Figure 2.15.

The comparator [40] is designed to consume13µA from a 3.0V supply. The ADC

(comparator and SRAM) occupies an area of 0.00725mm2. The schematic of the com-

parator in the ADC is shown in Figure 2.16. The transistor sizes of the comparator are

in Table 2.9.

Figure 2.17 shows the simulated output of the comparator fortwo inputs close to

the common mode level. When the differential input is one LSB below common mode

(-5mV) the comparator output is zero. When it is one LSB above the common mode

level (5mV), it takes the comparator output 70µs to rise to VDD. The comparator was

clocked at the maximum rate of 12.5MHz.

Page 44: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 34

INPUT STAGE GAIN STAGE LEVEL CONVERTER

VbiasN

VbiasP

Vin+ Vin-Vref+ Vref-

M1 M2 M3 M4

M5 M6M7 M8

M9 M10

M11 M12

M13 M14 M15M16

M17

M18

M19

Vout

Figure 2.16: Comparator in the ADC.

0 0.08 0.16 0.24 0.32 0.4 0.48 0.56 0.64

0

3

OU

TP

UT

(V

)

0 0.08 0.16 0.24 0.32 0.4 0.48 0.56 0.64−0.65

0

0.65

TIME(µs)

INP

UT

(V

)

−5mV

+5mV +5mV

−5mV

∆t=70ns

Figure 2.17: Simulated comparator performance for worst case input.

Page 45: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 35

Table 2.9: Comparator transistor sizing

Transistor W/L(µm)M1,2,3,4 2 × 2/1M5,6 1/2M7,8 0.8/0.35M9,10 2 × 1/1M11,12 1/0.35M13,14 1/4M15,16 1/8M17,18 1/0.35M19 4 × 1/0.35

2.3.4 Recording Channel Characterization

The micrograph of the recording channel is shown in Figure 2.18. The majority of the

area is occupied by the input capacitors of both the first and the second stages.

The full channel was characterized experimentally. A 50Hz sine wave was applied

differentially to the input of the first stage and passed through the two stages of ampli-

fication and the ADC. The spectrum of the output signal is shownin Figure 2.19. A

summary of the experimental characteristics of the full recording channel including the

ADC is given in Table 2.10.

The channel was tested for low amplitude inputs. The output of the channel to a

200µV sinusoidal input with a frequency of 30Hz is shown in Figure2.20. The signal

was low-pass filtered in software to eliminate the high frequency noise.

The functionality of the recording channel was also verifiedwith pre-recorded neu-

ral activity of epileptic seizure events. Seizure-like events were induced in an intact

hippocampus from Wilstar rats (5 - 25 days old) by immersing it in a low-Mg2+ solu-

tion. During a seizure neural populations synchronize and fire at the same time, which

results in spikes of large amplitudes. The signals were recorded with a conventional

bench-top low-noise amplifier and then programmed onto a HP33120A arbitrary wave-

form generator and applied to the non-inverting terminal ofone recording channel. The

Page 46: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 36

200µm

150µm

ADC/SRAM

SECOND

STAGE

SECOND

STAGE

CAPACITORS

INPUT CAPACITORS

ADC

FIRST

STAGE

MEMORY

AND

READOUT

Figure 2.18: Micrograph of a single recording channel.

Table 2.10:Recording channel experimental characteristics

Neural AmplifierProgrammable Gain 53-72 dBLow Frequency Cut-off 0.5-50HzHigh Frequency Cut-off 500Hz-10kHzInput-Referred Noise (10Hz-5kHz) 7.99µVNEF 8.9CMRR (200Hz) 60 dBTHD (1mVpp) 0.8%

Full Channel (including ADC)Resolution 8-bitPower Dissipation 52µWSNDR 32.18 dBENOB 5.05SFDR 38 dB

Page 47: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.3. NEURAL RECORDING 37

0 0.5 1 1.5 2 2.5

−150

−140

−130

−120

−110

−100

−90

−80

−70

−60

FREQUENCY (kHz)

MA

GN

ITU

DE

(dB

Vrm

s )

fIN

= 50HzfS = 5.1kHz

SNDR = 32.18dBENOB = 5.05

Figure 2.19: Measured output spectrum for the full channel including the ADC.

0 0.02 0.04 0.06 0.08

−0.08

−0.04

0

0.04

0.08

TIME (s)

INP

UT

RE

FE

RR

ED

SIG

NA

L (m

V)

Figure 2.20: Input referred output from the channel in response to a 200µVpp sinusoidal input.

Page 48: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.4. EXPERIMENTAL VALIDATION 38

Table 2.11:System-level experimental characteristics

Technology 0.35µm CMOSSupply Voltage 3.0VDie Dimensions 3.5mm×3.65mmArea per Channel:

Recording 175µm×200µmStimulation 50µm×400µm

Number of Recording Channels 256Number of Stimulation Channels 64ADC Input Range 1.3Vpp

ADC Resolution 8-bitMax. Sampling Rate of ADC 15kS/sPower Dissipation:

Recording Array 13.3mWStimulation Array (Quiescent) 0.17mWRead-out Circuits 0.44mWTotal 13.91mW

inverting terminal was grounded. The original pre-recorded signal and the signal ac-

quired with the recording channel are depicted in Figure 2.21.

2.4 Experimental Validation

The experimental results summary for the full system are presented in Table 2.11.

Table 2.12 compares the neural stimulation and recording system presented here to

other bidirectional integrated neural interfaces published in [29], [28], [30], and [31].

The design in [30] does not have an on-chip ADC. In [29] the low overall power con-

sumption is in part due to the low number of recording channels, which only require a

single ADC. This design incorporates the largest number of channels while consuming

relatively low power and area.

Page 49: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.4. EXPERIMENTAL VALIDATION 39

0 0.5 1 1.5 2 2.5 3 3.5 4−0.5

0

0.5

1

1.5

2

2.5

TIME (s)

OR

IGIN

AL

SIG

NA

L (m

V)

(a)

0 0.5 1 1.5 2 2.5 3 3.5 4−0.5

0

0.5

1

1.5

2

2.5

TIME (s)

INP

UT

RE

FE

RR

ED

SIG

NA

L (m

V)

(b)

Figure 2.21: (a) Original recordings of epileptic seizure spikes, and (b) the data recorded withthis system.

Page 50: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

2.4

.E

XP

ER

IME

NT

AL

VA

LID

AT

ION

40

Table 2.12:Comparative analysis of neural stimulation and recording systems

[28] [29] [30] [31] This work

Year 2006 2008 2008 2009 2009Technology [µm] 0.6 0.18 0.35 0.35 0.35Area [mm2] 6.5×6.5 1.8×1.5 0.3×2.4 3.4×2.5 3.5×3.65

StimulationNo. of channels 128 64 16 128 64Mode Voltage Current Current/ Voltage Voltage CurrentOutput current up to 10mA 0-10µA 0-100µA - 20-250µAPower/Ch. [µW] 150 7.4 - 51 2.6

RecordingNo. of channels 128 8 16 128 256Fully differential Yes No No Yes YesADC Architecture Column parallel Single - Column parallel In-channelPower/Ch. [µW] 780 21.25 - 12.75 52NEF 8.1 - 17.6 - 46.1 5.6 8.9

Parallel operation No No No Yes YesOn-chip 3D electrodes No No No No Yes

Page 51: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

41

Chapter 3

CMOS-Microelectrode Hybrid

Integration

Integrated neural interfaces are receiving wide attentionin the integrated circuits re-

search community. Microchips have recently been reported with one or several of the

following capabilities: neural recording, neural signal processing, neural stimulation

and wireless communication and power for implantability [2, 12, 28, 31, 41]. Many of

the designed systems have a planar channel arrangement effectively constituting two-

dimensional electronic circuit arrays. As off-chip multiplexing is prohibitive and noise

and interference requirements are stringent, forin vitro andin vivo animal studies such

electronic microchips have to be integrated directly with microelectrode arrays.

This chapter presents two approaches for CMOS-microelectrode integration. Sec-

tion 3.1 presents a method of fabricating microelectrode arrays forin vitro neural tissue

electrophysiological studies that is low-cost and has a fast turn around time. Building

on the approach taken in [1], it utilizes standard wire bonding tools to create arrays of

stacked gold stud-bump electrodes. A cross section of the resulting structure is shown

in Figure 3.1.

Page 52: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

3.1. MULTI -BUMP Au M ICROELECTRODE 42

Si Substrate

DeadTissue

Brain Slice or Intact Tissue

Au Au Au

Figure 3.1: Cross section view of the stud-bump gold microelectrodes with a brain slice.

Section 3.2 shows a second option for CMOS-microelectrode integration that uti-

lizes a commercially available UEA. The UEA was attached to the bidirectional neural

interface presented in Chapter 2.

3.1 Multi-bump Au Microelectrode

Gold stud bumping is performed by utilizing standard wire bonding technology. When

a die bonding pads are bonded to the package the wire bonding tool presses a melted

gold ball against a bonding pad on the die and then stretches the wire to connect it to

the package. For gold stud bumping the wire bonding tool snaps the wire after pressing

the gold ball against the electrode pad on the surface of the die. This is done for all

electrode pads that serve as inputs to the neural amplifiers and results in an array of

single stud bump electrodes as shown in Figure 3.2(a).

To create multi-stack bump electrodes the wire bonding toolcoins all golden studs

and repeats this process several times as required. An electrode made by stacking four

gold bumps is shown in Figure 3.2(b). The resulting electrodes are about 180µm tall.

By varying the number of golden studs different penetration depths can be achieved.

Page 53: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

3.1. MULTI -BUMP Au M ICROELECTRODE 43

(a) (b)

Figure 3.2: (a) A single [1] and (b) a quad stud-bump gold microelectrodes.

This results in three-dimensional access to the live tissue. These microelectrodes were

manufactured by a third party packaging service provider.

3.1.1 Layout Techniques

During the process of pressing the gold stud onto the electrode pad a significant amount

of pressure is applied to the die. If the layout of the recording channel is not carefully

considered the circuits under the bonding pad can be damagedand become dysfunc-

tional.

To conserve the integrity of the recording channel after stud bumping placement of

active devices under the electrode bonding pad should be avoided. Since a significant

fraction of the channel layout area is consumed by the double-poly capacitors, placing

them directly under the bonding pad will minimize the mechanical stress on the active

devices in the channel. The capacitors are then connected toa stack of metals through

arrays of vias. The dimension of the metals will depend on thesignal routing over the

Page 54: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

3.1. MULTI -BUMP Au M ICROELECTRODE 44

Poly 2

Au BUMP

Poly 1

Metal 2

Metal 3

Metal 4

Metal 1

Sig

nal R

outin

g

Figure 3.3: Cross section view of the metal and poly layers used in the layout of the electrodebonding pad.

channel. Cut-outs have to be made in the support metals in order to avoid contact with

the signal routing that uses the same metal layer. This layout technique is illustrated in

Figure 3.3.

3.1.2 Microelectrode-Chip Integration

An array of quad stud-bump electrodes was fabricated on the surface of a 256-channel

neural amplifier chip first reported in [2]. The micrograph ofthe chip is shown in

Figure 3.4. The bonding pads have a 200µm pitch. The scanning electron microscopy

(SEM) images of the quad stud-bump electrode array fabricated directly on the surface

of the CMOS chip are shown in Figures 3.5(a) and 3.5(b).

The integrated neural interface consisting of the multi-channel neural amplifier mi-

crochip and the gold electrodes was used to record epilepticneural activity in an intact

mouse hippocampus. The hippocampus was placed on the surface of the packaged

Page 55: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

3.1. MULTI -BUMP Au M ICROELECTRODE 45

16 x 16 SITE

NEURAL

RECORDING

INTERFACE

NEURAL

AMPLIFIER

INPUT

PAD

200µm

Figure 3.4: Micrograph of a neural recording interface implemented in a 0.35µm CMOS tech-nology [2].

600µm

(a)

200µm

(b)

Figure 3.5: Quad bump microelectrodes fabricated on the neural recording microchip surface.

Page 56: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

3.2. CMOS-UEA MICROELECTRODEARRAY INTEGRATION 46

microchip inside a fluidic chamber specifically designed forthis purpose. It was sub-

merged in a heated artificial cerebrospinal fluid (ACSF) to keep it alive and perfused

with a low-Mg2+ solution to stimulate epileptic activity. The neural spikes recorded

through the electrodes on one of the channels are shown in Figure 3.6(a). A zoomed-in

version of the middle spike is shown in Figure 3.6(b). The recorded neural activity is

typical for this epilepsy animal model.

3.2 CMOS-UEA Microelectrode Array Integration

For this project the bidirectional neural interface was integrated with the UEA. Fig-

ure 3.7(a) shows the image of the array. Figure 3.7(b) shows the top view of the array

on the die. The bonding pads can be seen on the top and bottom ofthe structure. The

packaged die with the UEA and the insulated bonding wires is shown in Figure 3.7(c).

Figure 3.7(d) shows the top view of the UEA on the die with the insulated bonding

wires.

The size of the array is8× 8 with 400µm pitch. The electrodes are made of Iridium

for biocompatibility and lower interface impedance. The testing of the bidirectional

neural interface with the electrode array is included in thefuture work section.

Page 57: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

3.2. CMOS-UEA MICROELECTRODEARRAY INTEGRATION 47

0 1 2 3 4 5 6

−0.2

−0.1

0

0.1

0.2

0.3

TIME (s)

INP

UT

RE

FE

RR

ED

SIG

NA

L (m

V)

(a)

2.4 2.5 2.6 2.7 2.8 2.9 3 3.1 3.2−0.2

−0.1

0

0.1

0.2

TIME (s)

INP

UT

RE

FE

RR

ED

SIG

NA

L (m

V)

(b)

Figure 3.6: Epileptic seizure neural activity recorded through the quad-bump electrodes by theneural amplifier chip shown in Figure 3.4.

Page 58: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

3.2. CMOS-UEA MICROELECTRODEARRAY INTEGRATION 48

(a) (b)

(c) (d)

Figure 3.7: (a) The UEA. (b) Top view of the UEA attached to the die. (c) UEA on the pack-aged die with insulated bonding wires. (d) Top view of the UEA in the packagewith insulatedbonding wires.

Page 59: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

49

Chapter 4

Conclusions

4.1 Thesis Contributions

We presented a bidirectional integrated neural interface with 64 neural stimulation cir-

cuits, and 256 fully-differential neural recording channels, each with an in-channel

ADC. The interface was implemented in a 0.35µm CMOS technology. The fully pro-

grammable stimulators include memory which allows for truly simultaneous stimula-

tion without multiplexing. Re-use of the opamp in the stimulator for two different

configurations, and elimination of the DAC from every stimulator channel result in a

compact design. The recording channels perform simultaneous sampling and quanti-

zation due to the incorporation of an ADC in every cell. Low noise design techniques

are used for the design of the neural amplifier which results in a NEF of 8.9. The total

power dissipation of the neural interface is 13.3mW.

The validity of planar neural interfaces has been verified byobtaining neural record-

ing through microelectrodes that were fabricated on an existing neural recording mi-

crochip.

Page 60: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

4.2. FUTURE WORK 50

4.2 Future Work

• Two prototypes of the adaptive neural stimulation interface were recently in-

tegrated with the UEA. Future work will involve testing their stimulation and

recording capabilitiesin vitro in mice hippocampi.

• Future research could focus on the development of adaptive algorithms that can

be implemented on-chip for local signal processing, such asdata compression or

epileptic seizure detection.

Page 61: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

51

Appendix A

Supplementary Hardware and

Software Documentation

A.1 Board Design

The 4-layer PCB that was used to test the chip was designed using the Altium Designer

6 software. The manufactured board with components is shownin Figure A.1. The

main on-board components are:

• XCV400E Virtex-E 2.5 V Xilinx FPGA for generating the digitalinput signals to

the chip and acquiring the digital output signals from the chip.

• XC18V04, 44-pin PLCC, 3.3 V configuration IC PROM for programming the

FPGA.

• 2.5V and 3.3V ultralow-noise, high-PSRR, low-dropout linearvoltage regulators

for creating the supply voltages.

• Two 12-bit DACs (AD5328) to generate the bias and reference voltages of the

chip.

Page 62: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

A.1. BOARD DESIGN 52

FPGA

Packaged

Neural

Interface

Bias

Currents

Bias

Voltages

Board Supply and Chip VDDs SMAs

CL

K PROM

10-bit

ADCs

Ramp

Generator

FPGA

Voltage Reg.

Figure A.1: The PCB designed for characterizing the adaptive neural stimulation interface.

• Three 3.3V, 1MHz Operational Amplifiers (AD8544) to buffer the bias and refer-

ence voltages.

• Two Dual Channel, 20MHz, 10-bit, CMOS ADCs (AD9201) to quantizethe out-

put of the test channels and the array off-chip.

• Six bias currents which are controlled by two (one fine and onecoarse) poten-

tiometers.

• Ten variable voltage regulators (TPS79501) generating theVDDs for the various

blocks on the chip and the ICs on the board.

• A current DAC (AD9742) and two opamps (AD8652) to generate the differential

ramp input to the ADC.

• Two SMA connectors to interface the analog output of the chipwith external

equipment.

• Debug pins to monitor the digital signals generated by the FPGA and the chip on

the logic analyzer.

Page 63: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

A.2. MATLAB I NTERFACE 53

• 25 MHz clock oscillator.

A.2 MATLAB Interface

MATLAB (version 7) scripts are used to provide an automated interface between the

FPGA and the user through the I/O card. The I/O card is the PCI-bus compatible,

National Instruments PCI-6534 card. The interface performsthe following tasks:

• Generating the bias voltages for the chip by programming thecorresponding

DACs.

• Recording and displaying data from the chip.

• Generating the setup commands for the stimulator.

MATLAB functions, making use of mex files provided by the I/O board Universal

Library, transmit and receive proper hand-shake signals toestablish the communication

between the FPGA and I/O Board. A list of MATLAB routines is as follows:

• sendCommand(command): Sends a command to the FPGA that indicates a de-

sired mode of operation.

• initBias: Sends the required bit sequence to the on-board DACs throughFPGA

for programming.

• startCalib: Re-sends the bias information for a specific bias voltage that is re-

sponsible for the feedback transistor. This is used to put the channel in buffer

mode for a short time to quicken recovery from saturation.

• setAddress(row,col): Requests to change the address of the row-select and column-

select signals, such that a particular channel is enabled.

Page 64: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

A.3. FPGA PROGRAMMING 54

• channelDisplayrealtime: Displays live acquired data on as many channels as are

specified.

• channelDisplayoffline: Displays the recorded videos off-line.

• runStimulator: Addresses the stimulators sequentially and programs themwith

the appropriate stimulation parameters.

• patternStimulator: Enables a pre-programmed stimulation pattern.

A.3 FPGA Programming

Xilinx ISE Tools (version 9.2) are used to program the FPGA inorder to generate the

required signals and configure the chip in different modes ofoperation. A number of

Verilog hardware description language (HDL) scripts perform this configuration task.

Here is a brief description of these Verilog modules:

• sTop.v: The top-level Verilog module which instantiates all of therequired sub-

modules.

• Iin.v: Responsible for the handshaking protocol during communication of instruc-

tion from the computer.

• cmdUnit.v: Establishes the current state of operation based on the command sent

from the computer and managed by Iin.v.

• biasDAC.v: Programs the DACs to generate the appropriate bias voltagesbased

on the data sent from the computer.

• addrCntrl.v: Responsible for advancing the address of the row and the column in

either direction based on input from various modules.

Page 65: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

A.3. FPGA PROGRAMMING 55

• scanArray.v: Controls the timing of the sample-and-hold clocks and ADC clocks

during the sampling and quantization of the data.

• stimulatorCtrl.v: Controls the stimulator clock inputs based on the commands

from the computer.

Page 66: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

56

References

[1] J. N. Y. Aziz, R. Genov, B. L. Bardakjian, M. Derchansky, and P. L. Carlen,“Brain-silicon interface for high resolutionin vitro neural recording,”IEEE Trans-actions on Biomedical Circuits and Systems, vol. 1, pp. 56–62, March 2007.

[2] J. N. Y. Aziz, K. Abdelhalim, R. Shulyzki, R. Genov, B. L. Bardakjian, M. Der-chansky, D. Serletis, and P. L. Carlen, “256-channel neural recording and deltacompression microsystem with 3D electrodes,”IEEE Journal of Solid-State Cir-cuits, vol. 44, pp. 995–1005, March 2009.

[3] F. Sun, M. Morrell, and R. W. Jr., “Responsive cortical stimulation for the treat-ment of epilepsy,”Neurotherapeutics, vol. 5, pp. 68–74, January 2008.

[4] H. Mayberg, A. Lozano, V. Voon, H. Mcneely, D. Seminowicz, C. Hamani,J. Schwalb, and S. Kennedy, “Deep brain stimulation for treatment-resistant de-pression,”Neuron, vol. 45, no. 5, pp. 651–660, 2005.

[5] A. L. Benabid, “Deep brain stimulation for parkinson’s disease,”Current Opinionin Neurobiology, vol. 13, pp. 696–706, November 2003.

[6] Q. Bai and K. D. Wise, “Single-unit neural recording with active microelectrodearrays,” IEEE Transactions on Biomedical Engineering, vol. 48, pp. 911–920,August 2001.

[7] K. C. Cheung, “Implantable microscale neural interfaces,” Biomedical Microde-vices, vol. 9, pp. 923–938, December 2007.

[8] P. Norlin, M. Kindlundh, A. Mouroux, K. Yoshida, and U. G.Hofmann, “A 32-siteneural recording probe fabricated by drie of soi substrates,” Journal of Microme-chanics and Microengineering, vol. 12, pp. 414–419, June 2002.

[9] S. Kim, R. Normann, R. Harrison, and F. Solzbacher, “Preliminary study of thethermal impact of a microelectrode array implanted in the brain,” in Proc. IEEEEngineering in Medicine and Biology Conference, pp. 2986–2989, August 2006.

[10] T. M. Seese, H. Harasaki, G. M. Saidel, and C. R. Davies, “Characterization of tis-sue morphology, angiogenesis, and temperature in the adaptive response of muscletissue to chronic heating,”Laboratory Investigation, vol. 78, pp. 1553–1562, De-cember 1998.

Page 67: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

REFERENCES 57

[11] L. Theogarajan, J. Wyatt, J. Rizzo, B. Dorhan, M. Markova,S. Kelly, G. Swider,M. Raj, D. Shire, M. Gingerich, J. Lowenstein, and B. Yomtov, “Minimaly inva-sive retinal prosthesis,”IEEE International Solid-State Circuits Conference Digestof Technical Papers, p. 54, February 2006.

[12] J. Coulombe, M. Sawan, and J.-F. Gervais, “A highly flexible system for micros-timulation of the visual cortex: Design and implementation,” IEEE Transactionson Biomedical Circuits and Systems, vol. 1, pp. 258–269, December 2007.

[13] Y. Y. Yao, M. N. Gulari, J. F. Hetke, and K. D. Wise, “A low-profile three-dimensional neural stimulating array with on-chip currentgeneration,”Proceed-ings of the 26th Annual International Conference of the IEEE EMBS, pp. 1994–1997, September 2004.

[14] M. Ortmanns, “Charge balancing in functional electrical stimulators: A compara-tive study,”IEEE International Symposium on Circuits and Systems, pp. 573–576,May 2007.

[15] J. Simpson and M. Ghovanloo, “An experimental study of voltage, current, andcharge controlled stimulation front-end circuitry,”IEEE International Symposiumon Circuits and Systems, pp. 325–328, May 2007.

[16] J. C. Williams, J. A. Hippensteel, J. Dilgen, W. Shain, and D. R. Kipke, “Com-plex impedance spectroscopy for monitoring tissue responses to inserted neuralimplants,”Journal of Neural Engineering, vol. 4, pp. 410–423, November 2007.

[17] E. J. Tehovnik, “Electrical stimulation of neural tissue to evoke behavioral re-sponses,”Journal of Neuroscience Methods, vol. 65, pp. 1–17, 1996.

[18] M. Ghovanloo, “Switched-capacitor based implantablelow-power wireless mi-crostimulator systems,”IEEE International Symposium on Circuits and Systems,pp. 2197–2200, May 2006.

[19] X. Liu, A. Demosthenous, and N. Donaldson, “An integrated implantable stimu-lator that is fail-safe without off-chip blocking capacitors,” IEEE Transactions OnBiomedical Circuits and Systems, vol. 2, pp. 231–244, September 2008.

[20] T. G. Constandinou, J. Georgiou, and C. Toumazou, “A partial-current-steeringbiphasic stimulation driver for vestibular prostheses,”IEEE Transactions OnBiomedical Circuits and Systems, vol. 2, pp. 106–113, June 2008.

[21] M. Ghovanloo and K. Najafi, “A compact large voltage-compliance high output-impedance programmable current source for implantable microstimulators,”IEEETransactions on Biomedical Engineering, vol. 52, pp. 97–105, January 2005.

[22] M. S. Chae, W. Liu, and M. Sivaprakasam, “Design optimization for inte-grated neural recording systems,”IEEE Journal of Solid-State Circuits, vol. 43,pp. 1931–1939, September 2008.

Page 68: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

REFERENCES 58

[23] M. A. C. van Rijn, A. Peper, and C. A. Grimbergen, “High-quality recording ofbioelectric events,”Med Biol Eng Comput, vol. 29, pp. 1035–1044, 1986.

[24] M. Derchansky, D. Rokhni, R. Wennberg, B. L. Bardakjian, L. Zhang, Y. Yarom,and P. L. Carlen, “Bidirectional multiside seizure propagation in the intact isolatedhippocampus: The multifocality of seizure ”focus”,”Neurobiology of Disease,vol. 23, pp. 312–328, August 2006.

[25] Y. Chagnac-Amitai and B. W. Connors, “Syncronized excitation and inhibitiondriven by intrinsically bursting neurons in neocortex,”Journal of Neurophysiol-ogy, vol. 62, pp. 1149–1162, November 1989.

[26] W. Franks, I. Schenker, P. Schmutz, and A. Hierlemann, “Impedance characteriza-tion and modeling of electrodes for biomedical applications,” IEEE TransactionsOn Biomedical Engineering, vol. 52, pp. 1295–1302, July 2005.

[27] R. R. Harrison, “A versatile integrated circuit for the acquisition of biopotentials,”IEEE Custom Intergrated Circuits Conference, pp. 115–122, September 2007.

[28] F. Heer, S. Hafizovic, W. Franks, A. Blau, C. Ziegler, and A.Hierlemann, “CMOSmicroelectrode array for bidirectional interaction with neuronal networks,”IEEEJournal of Solid-State Circuits, vol. 41, pp. 1620–1629, July 2006.

[29] J. Lee, H. Rhew, D. Kipke, and M. Flynn, “A 64 channel programmable closed-loop deep brain stimulator with 8 channel neural amplifier and logarithmic ADC,”IEEE Symposium on VLSI Circuits, pp. 76–77, June 2008.

[30] E. A. Brown, J. D. Ross, R. A. Blum, Y. Nam, B. C. Wheeler, and S. P. DeWeerth,“Stimulus-artifact elimination in a multi-electrode system,” IEEE Transactions OnBiomedical Circuits and Systems, vol. 2, pp. 10–21, March 2008.

[31] F. Shahrokhi, K. Abdelhalim, and R. Genov, “128-channelfully differential digitalneural recording and stimulation interface,”IEEE International Symposium onCircuits and Systems, pp. 1249–1252, May 2009.

[32] S. J. Daubert and D. Vallancourt, “Operation and analysis of current copier cir-cuits,” IEEE Proceedings, vol. 137, pp. 109–115, April 1990.

[33] M. Sivaparakasam, W. Liu, M. S. Humayun, and J. D. Weiland, “A variable rangebi-phasic current stimulus driver circuitry for an implantable retinal prosthetic de-vice,” IEEE Journal of Solid-State Circuits, vol. 40, pp. 763–771, March 2005.

[34] M. Ghovanloo and K. Najafi, “A modular 32-site wireless neural stimulation mi-crosystem,”IEEE Journal of Solid-State Circuits, vol. 39, pp. 2457–2466, Decem-ber 2004.

Page 69: by Ruslana Shulyzki - University of Toronto T-Space · Ruslana Shulyzki Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto

REFERENCES 59

[35] R. R. Harrison and C. Charles, “A low-power low-noise CMOS amplifier for neu-ral recording applications,”IEEE Journal of Solid-State Circuits, vol. 38, pp. 958–965, June 2003.

[36] M. Yin and M. Ghovanloo, “A low-noise preamplifier with adjustable gain andbandwidth for biopotential recording applications,”IEEE International Sympo-sium on Circuits and Systems, pp. 321–324, May 2007.

[37] M. Mollazadeh, K. Murari, G. Cauwenberghs, and N. Thakor, “MicropowerCMOS integrated low-noise amplification, filtering, and digitization of multi-modal neuropotentials,”IEEE Transactions on Biomedical Circuits and Systems,vol. 3, pp. 1–10, February 2009.

[38] D. A. Johns and K. Martin,Analog Integrated Circuit Design. John Wiley andSons, 1997.

[39] J. N. Y. Aziz, R. Karakiewicz, R. Genov, B. L. Bardakjian, M. Derchansky, andP. L. Carlen, “Real-time seizure monitoring and spectral analysis microsystem,”IEEE International Symposium on Circuits and Systems, pp. 2133–2136, May2006.

[40] R. Gregorian, Introduction to CMOS OP-AMPs and Comparators. Wiley-Interscience, 1999.

[41] R. R. Harrison, P. T. Watkins, R. J. Kier, R. O. Lovejoy, D. J. Black, B. Greger, andF. Solzbacher, “A low-power integrated circuit for a wireless 100-electrode neuralrecording system,”IEEE Journal of Solid-State Circuits, vol. 42, pp. 123–133,January 2007.