12
Brother Silicon, Sister Germanium J. Vanhellemont a,z and E. Simoen b, * a Department of Solid State Sciences, Ghent University, Krijgslaan 281 S1, B-9000 Ghent, Belgium b IMEC, B-3010 Leuven, Belgium Material and lattice defect properties of silicon and germanium relevant for device processing are discussed and compared with respect to their impact on crystal pulling, device processing, and diode leakage current. It is shown that both semiconductors are very similar when comparing their material properties at the same temperature T normalized to the melt temperature T m . In other respects, however, like the diffusion of dopants, Ge behaves quite differently. With respect to diode leakage, germanium is more sensitive to metal contamination than silicon at the same device operation temperature. © 2007 The Electrochemical Society. DOI: 10.1149/1.2732221 All rights reserved. Manuscript submitted December 27, 2006; revised manuscript received February 16, 2007. Available electronically May 7, 2007. Due to its high intrinsic carrier mobility, there is a renewed in- terest to use germanium as an active semiconductor in advanced nanoelectronic devices. 1 This renewed interest is also reflected by a strong development of Ge-based substrate materials and devices 2 after many decades of standstill. Considering that the germanium reserves are limited and the production of germanium is much more expensive than that of silicon, wide application of germanium in nanoelectronics will only be possible under the form of thin films, either selectively deposited or as thin-film germanium-on-insulator substrates GeOI. Analog to silicon-on-insulator SOI substrates, for the production of a GeOI wafer, a conventional silicon substrate is used as carrier wafer and a thin germanium film can be prepared, e.g., by a process similar to the smart cut process used for silicon. Another attractive alternative, especially when applied locally, is the so-called Ge-condensation technique. 3 The basic principle relies on the ejection of Ge from a thermal oxide and the preferential oxida- tion of Si in an epitaxial Si 1-x Ge x layer, deposited on a SOI wafer, for sufficiently high temperatures. For appropriate oxidation condi- tions, a thin, Ge-enriched layer is formed between the growing ther- mal oxide and the buried oxide, which can be used for the fabrica- tion of high-mobility transistors. Because germanium is used in intimate contact with silicon or with silicon oxide, it is important to compare both semiconductors with respect to their relevant properties. 4 In the present paper a comparison is made between some of the most relevant material and lattice defect properties with respect to crystal growth and device processing, and whenever possible conclusions are drawn related to device processing and diode leakage. Mechanical Properties The mechanical properties of germanium are less favorable for crystal pulling and for its use as semiconductor substrate compared to those of silicon Table I. The more than two times higher density of germanium in combination with a significantly lower mechanical strength that decreases also more rapidly with increasing tempera- ture than for silicon can lead to dislocation-related problems during crystal pulling and to wafer warping problems when using it as a large-diameter bulk substrate for device processing. The larger weight of the germanium crystal combined with the lower mechani- cal strength also require a significantly larger seed and neck diam- eter for the same crystal lengths. This increases the probability of dislocation propagation from the seed. The mechanical properties of dislocations in silicon and germa- nium and their relation with material strength and plastic flow have been studied in detail 5,6 and revealed a much higher dislocation mobility in germanium, as illustrated in Fig. 1. Recently, also, in situ transmission electron microscopy studies have been performed to study dislocation nucleation, propagation, and interaction in germanium. 7 The relative softness of germanium wafers is an issue when pro- cessing is performed using standard silicon-compatible equipment. Often, robot handling may lead to Ge wafer breakage or loss. A related problem occurs when measuring the sheet resistivity by a two- or four-point probe method. While for silicon probe penetration is typically only a few nanometers, this may become 30 nm for the case of germanium. 8 This is particularly a concern when dealing with the characterization of very shallow junctions. Intrinsic Point Defects in Silicon and Germanium Intrinsic point defects in silicon.— The properties of the intrin- sic point defects in silicon have been studied for more than half a century. After an initial focus on the role of vacancies, it was soon realized that self-interstitials also play an important role in many of the physical processes such as dopant diffusion and defect forma- tion. In a pioneering paper, Seeger and Chik discussed in 1968 the implications of the coexistence of self-interstitials and vacancies in silicon. 10 They proposed that in contrast to other elemental crystals, the self-interstitial is the dominant intrinsic point defect in silicon. Due to this huge research effort, the solubility and diffusivity of the intrinsic point defects are quite well known, although a signifi- cant discrepancy still exists between the properties as determined from defect formation during crystal pulling equal to high- temperature values and those determined from metal diffusion ex- periments. From the study of stacking fault ring formation as a function of silicon crystal pulling conditions, the solubility C V,I in cm -3 and diffusivity D V,I in cm 2 s -1 of vacancies and self- interstitials, respectively, have been derived as 11 C V cm -3 = 7.59982 10 26 e -4.0 eV/kT D V cm 2 s -1 = 6.2614 10 -4 e -0.4 eV/kT C I cm -3 = 6.1859 10 26 e -4.0 eV/kT * Electrochemical Society Active Member. z E-mail: [email protected] Table I. Mechanical properties at 300 K of silicon and germanium. 9 Silicon Germanium 100 Young’s modulus 10 11 dyn cm -2 13.0 10.3 100 Poisson ratio 0.28 0.26 Bulk modulus 10 11 dyn cm -2 9.8 7.13 Shear modulus 10 11 dyn cm -2 5.2 4.1 Hardness Mohs 7 6 Knoop hardness kg mm -2 1150 780 Density g cm -3 2.329 5.323 C 11 10 11 dyn cm -2 16.60 12.60 C 12 10 11 dyn cm -2 6.40 4.40 C 44 10 11 dyn cm -2 7.96 6.77 Journal of The Electrochemical Society, 154 7 H572-H583 2007 0013-4651/2007/1547/H572/12/$20.00 © The Electrochemical Society H572

Brother Silicon, Sister Germanium

  • Upload
    e

  • View
    226

  • Download
    11

Embed Size (px)

Citation preview

Page 1: Brother Silicon, Sister Germanium

Journal of The Electrochemical Society, 154 �7� H572-H583 �2007�H572

Brother Silicon, Sister GermaniumJ. Vanhellemonta,z and E. Simoenb,*aDepartment of Solid State Sciences, Ghent University, Krijgslaan 281 S1, B-9000 Ghent, BelgiumbIMEC, B-3010 Leuven, Belgium

Material and lattice defect properties of silicon and germanium relevant for device processing are discussed and compared withrespect to their impact on crystal pulling, device processing, and diode leakage current. It is shown that both semiconductors arevery similar when comparing their material properties at the same temperature T normalized to the melt temperature Tm. In otherrespects, however, like the diffusion of dopants, Ge behaves quite differently. With respect to diode leakage, germanium is moresensitive to metal contamination than silicon at the same device operation temperature.© 2007 The Electrochemical Society. �DOI: 10.1149/1.2732221� All rights reserved.

Manuscript submitted December 27, 2006; revised manuscript received February 16, 2007. Available electronically May 7, 2007.

0013-4651/2007/154�7�/H572/12/$20.00 © The Electrochemical Society

Due to its high intrinsic carrier mobility, there is a renewed in-terest to use germanium as an active semiconductor in advancednanoelectronic devices.1 This renewed interest is also reflected by astrong development of Ge-based substrate materials and devices2

after many decades of standstill. Considering that the germaniumreserves are limited and the production of germanium is much moreexpensive than that of silicon, wide application of germanium innanoelectronics will only be possible under the form of thin films,either selectively deposited or as thin-film germanium-on-insulatorsubstrates �GeOI�. Analog to silicon-on-insulator �SOI� substrates,for the production of a GeOI wafer, a conventional silicon substrateis used as carrier wafer and a thin germanium film can be prepared,e.g., by a process similar to the smart cut process used for silicon.Another attractive alternative, especially when applied locally, is theso-called Ge-condensation technique.3 The basic principle relies onthe ejection of Ge from a thermal oxide and the preferential oxida-tion of Si in an epitaxial Si1−xGex layer, deposited on a SOI wafer,for sufficiently high temperatures. For appropriate oxidation condi-tions, a thin, Ge-enriched layer is formed between the growing ther-mal oxide and the buried oxide, which can be used for the fabrica-tion of high-mobility transistors.

Because germanium is used in intimate contact with silicon orwith silicon oxide, it is important to compare both semiconductorswith respect to their relevant properties.4 In the present paper acomparison is made between some of the most relevant material andlattice defect properties with respect to crystal growth and deviceprocessing, and whenever possible conclusions are drawn related todevice processing and diode leakage.

Mechanical Properties

The mechanical properties of germanium are less favorable forcrystal pulling and for its use as semiconductor substrate comparedto those of silicon �Table I�. The more than two times higher densityof germanium in combination with a significantly lower mechanicalstrength �that decreases also more rapidly with increasing tempera-ture� than for silicon can lead to dislocation-related problems duringcrystal pulling and to wafer warping problems when using it as alarge-diameter bulk substrate for device processing. The largerweight of the germanium crystal combined with the lower mechani-cal strength also require a significantly larger seed and neck diam-eter for the same crystal lengths. This increases the probability ofdislocation propagation from the seed.

The mechanical properties of dislocations in silicon and germa-nium and their relation with material strength and plastic flow havebeen studied in detail5,6 and revealed a much higher dislocationmobility in germanium, as illustrated in Fig. 1. Recently, also, in situtransmission electron microscopy studies have been performed tostudy dislocation nucleation, propagation, and interaction ingermanium.7

* Electrochemical Society Active Member.z E-mail: [email protected]

The relative softness of germanium wafers is an issue when pro-cessing is performed using standard silicon-compatible equipment.Often, robot handling may lead to Ge wafer breakage or loss. Arelated problem occurs when measuring the sheet resistivity by atwo- or four-point probe method. While for silicon probe penetrationis typically only a few nanometers, this may become 30 nm for thecase of germanium.8 This is particularly a concern when dealingwith the characterization of very shallow junctions.

Intrinsic Point Defects in Silicon and Germanium

Intrinsic point defects in silicon.— The properties of the intrin-sic point defects in silicon have been studied for more than half acentury. After an initial focus on the role of vacancies, it was soonrealized that self-interstitials also play an important role in many ofthe physical processes such as dopant diffusion and defect forma-tion. In a pioneering paper, Seeger and Chik discussed in 1968 theimplications of the coexistence of self-interstitials and vacancies insilicon.10 They proposed that in contrast to other elemental crystals,the self-interstitial is the dominant intrinsic point defect in silicon.

Due to this huge research effort, the solubility and diffusivity ofthe intrinsic point defects are quite well known, although a signifi-cant discrepancy still exists between the properties as determinedfrom defect formation during crystal pulling �equal to high-temperature values� and those determined from metal diffusion ex-periments. From the study of stacking fault ring formation as afunction of silicon crystal pulling conditions, the solubility CV,I �incm−3� and diffusivity DV,I �in cm2 s−1� of vacancies and self-interstitials, respectively, have been derived as11

CV �cm−3� = 7.59982 � 1026e−4.0 �eV�/kT

DV �cm2 s−1� = 6.2614 � 10−4e−0.4 �eV�/kT

CI �cm−3� = 6.1859 � 1026e−4.0 �eV�/kT

Table I. Mechanical properties at 300 K of silicon andgermanium.9

Silicon Germanium

�100� Young’s modulus �1011 dyn cm−2� 13.0 10.3�100� Poisson ratio 0.28 0.26Bulk modulus �1011 dyn cm−2� 9.8 7.13Shear modulus �1011 dyn cm−2� 5.2 4.1Hardness �Mohs� 7 6Knoop hardness �kg mm−2� 1150 780Density �g cm−3� 2.329 5.323C11 �1011 dyn cm−2� 16.60 12.60C12 �1011 dyn cm−2� 6.40 4.40C �1011 dyn cm−2� 7.96 6.77

44
Page 2: Brother Silicon, Sister Germanium

H573Journal of The Electrochemical Society, 154 �7� H572-H583 �2007� H573

DI �cm2 s−1� = 0.19497e−0.9 �eV�/kT �1�

In. Eq. 1, k is the Boltzmann constant and T the absolute tempera-ture.

Most silicon manufacturers have obtained similar results basedon the analysis of intrinsic point defect clustering near the melttemperature. There is, however, still a relatively large discrepancywith the values obtained based on metal diffusion experiments car-ried out at lower temperatures, typically well below 1000°C. Basedon a detailed analysis of zinc diffusion in silicon under nonequilib-rium conditions, Bracht et al.12 obtained

CV �cm−3� = 1.4 � 1023e−2.0 �eV�/kT

DV �cm2 s−1� = 3.0 � 10−2e−1.8 �eV�/kT

CI �cm−3� = 2.9 � 1024e−3.18 �eV�/kT

DI �cm2 s−1� = 51e−1.77 �eV�/kT �2�Based on detailed analyses of the results of positron annihilationmeasurements, Dannefaer et al.13 and Van Vechten14 obtained ther-

Figure 1. �a� Velocity of 60° dislocations in silicon and germanium as afunction of the applied shear stress at various temperatures. �b� The disloca-tion velocity as a function of the inverse temperature.5,6

mal equilibrium vacancy concentrations which are closer to those ofKulkarni and co-workers.11 Figure 4 illustrates the wide range ofsolubility data for vacancies in silicon.

Intrinsic point defects in germanium.— For Ge the situation ismuch less favorable and little information exists on intrinsic pointdefect properties. Self-diffusion in Ge is the slowest diffusion pro-cess and provides direct evidence of the existence of an equilibriumconcentration of intrinsic point defects. Recent experiments of Gieseet al.15 showed that in contrast to silicon, the self-diffusion coeffi-cient DGe in germanium is dominated by vacancies. They presentedstrong experimental evidence that the vacancy-assisted Ge tracerdiffusion coefficient DGe

�V�, DGe�V� = 0.5CV

* DV/CGe, is very close toDGe or

DGe�V� = 0.5CV

* DV/CGe � DGe = 0.5CV* DV/CGe + 0.73CDI/CGe

and thus

CI*DI � CV

* DV �3�

which is quite different from the behavior in silicon. CI* and CV

* arethe thermal equilibrium self-interstitial and vacancy concentrations,respectively. CGe is the concentration of germanium lattice atoms.

Thermal equilibrium concentration of vacancies in Ge.— Littleinformation can be found on the thermal equilibrium vacancy con-centration CV

* . On the basis of annealing experiments on thermalacceptors quenched into germanium, Mayburg16 obtained

CV−* = 3 � 1023e−2.01 eV/kT cm−3 �4�

predicting an equilibrium vacancy concentration of 1.3� 1015 cm−3 at the melt temperature Tm. This is in good agreementwith the estimate of 2.9–3.9 � 1015 cm−3 that Tweet17 obtainedbased on Cu precipitation and diffusion behavior. In both cases theexperiment reveals the single negatively charged vacancy concentra-tion CV−

* . No experimental data are available on the formation en-ergy of the self-interstitial in Ge.

Based on an analysis of the available experimental data, a bestestimate of the formation energy of the charged and uncharged va-cancy in germanium was obtained recently18 as shown in Table II.For the single negatively charged vacancy one obtains

CV−* � �2.2 ± 1.2� � 1023e−1.98±0.11 eV/kT cm−3 �5�

According to Giese et al.,15 the self-diffusion coefficient of germa-nium is given by

DGe � 13.6e−3.09 eV/kT cm2 s−1

and thus

CV* DV � 1.18 � 1024e−3.09 eV/kT cm−1 s−1 �6�

With a formation energy of 1.98 ± 0.11 eV for the single negativelycharged vacancy,18 one can thus estimate a vacancy migration en-ergy of 1.1 eV. Figures 2 and 3 show the self-diffusion coefficientsand CV

* DV for silicon and for germanium as a function of the nor-malized temperature Tm/T. The equilibrium vacancy solubility dataof Eq. 5 are superimposed on these for silicon in Fig. 4 as a functionof the normalized temperature Tm/T.

Ab initio and molecular dynamics calculations.— Ab initio cal-culations were performed based on the local density approximation

Table II. Best estimates for the formation energy EVxF and pref-

actor CVx0 of the vacancy in germanium in three charge states.18

EV0F

�eV�

CV00

�sitefraction�

EV−F

�eV�

CV−0

�sitefraction�

EV−−F

�eV�

CV−−0

�sitefraction�

2.35 ± 0.11 28 ± 16 1.98 ± 0.11 5 ± 2.8 2.19 ± 0.11 0.22 ± 0.13

Page 3: Brother Silicon, Sister Germanium

H574 Journal of The Electrochemical Society, 154 �7� H572-H583 �2007�H574

using the ultrasoft pseudopotential method and plane waves as abasis set for efficient structure optimization.19 The CASTEP codewas used to simulate a 64-atom supercell large enough to neglectinteractions between the neighboring supercells. Only the neutralstate of the self-interstitial and the vacancy was considered. Figure 5shows the supercell used and the interstitial positions in the diamondlattice.

Table III summarizes the calculated formation energy EF of Vand I in Si compared with Ge.19 For the self-interstitial, the tetrahe-dral �T�, hexagonal �H�, bond center �Bc�, and �110� dumbbell �D�sites are calculated. It is found that the formation energy of vacan-cies in Ge �EF = 2.56 eV� is smaller than that in Si �EF= 3.81 eV�. Four Ge atoms around the vacancy move 0.046 nm in-ward from their original position to reduce the total energy. Thecalculations show that the most stable site for the self-interstitial inGe is the D site, as is also the case in Si. The formation energy of theself-interstitial at the D site in Ge �EF = 3.50 eV� is very close tothat in Si �EF = 3.51 eV�. The distance between two Ge atoms at theD site is 0.251 nm.

Figure 2. Self-diffusion coefficients in silicon and germanium as a functionof the normalized temperature Tm/T.11,15

Figure 3. CV* DV for germanium and for silicon as a function of the normal-

ized temperature T /T.11,15

m

Recently also molecular dynamics �MD� calculations of the for-mation energy of the uncharged vacancy and interstitial in germa-nium were performed with different Stillinger-Weber �SW�potentials.21 A comparison between the results of the ab initio cal-culations and those of the MD calculations is summarized in TableIV, showing an excellent agreement with the experimental value forthe vacancy. It can be assumed that the calculated formation ener-gies for the self-interstitial are quite accurate.

Czochralski Crystal Growth

High-quality silicon and germanium single crystals are producedby the Czochralski pulling process. The Czochralski �CZ� pullingprocess is well understood nowadays, and commercial simulatorsare available, allowing simulation of the whole process in full detail.Some of the first simulation results were in fact obtained for the CZgrowth of germanium and allowed to simulate both the crystal ge-ometry and temperature distributions.22

Later the focus shifted to CZ silicon growth for which mostfurther developments were performed. Recently, due to the increas-ing interest for germanium, dedicated versions for CZ germaniumgrowth have become available and a typical result is given in Fig. 6showing the temperature distributions in the puller, melt, and grow-ing Ge crystal. Based on these simulations it is also straightforwardto simulate thermal stress distributions in the crystal, thus allowingdesign of the hot zone in order to avoid dislocation generation inlarge-diameter crystals.23 Dislocation-free 300 mm Ge crystals havebeen demonstrated.

Although the simulation of the crystal growth process is thuswell established for both semiconductors, the situation is much lessadvanced, however, with respect to grown-in lattice defects in ger-manium where little research has been performed during the lastdecades.

Dislocation-free CZ-grown silicon and germanium crystals cancontain large vacancy clusters that lead to the formation of COPs�crystal-originated particles� that are detected by inspection tools onpolished wafer surfaces. Tweet24 reported already in 1959 the occur-rence of surface pits with a size depending on the thermal history ofthe crystal. He observed a pit density reduction when pulling sloweror when reducing the temperature gradient, thus keeping the crystalat high temperatures longer or postheating the crystal in the puller.

Figure 4. �Color online� Thermal equilibrium concentration of vacancies insilicon �Eq. 1 and 2 and Ref. 11-14� and germanium �Eq. 5 and Ref. 18�,respectively. The silicon values were obtained from a best fit to crystal pull-ing defect data, metal diffusion, and positron annihilation measurements,while the germanium results are based on a best fit to available data fromquenching experiments.

Page 4: Brother Silicon, Sister Germanium

H575Journal of The Electrochemical Society, 154 �7� H572-H583 �2007� H575

The pit density reduction was accompanied by an increase of size ofthe remaining pits. The observations were explained by a vacancy-clustering mechanism. Nearly four decades later, similar ideas wereused to reduce the number of grown-in intrinsic point defect clustersin large-diameter CZ silicon crystals.25,26

The present understanding of extended lattice defect formationby condensation of intrinsic point defects during the CZ pullingprocess of silicon crystals is based on the model of Voronkov andFalster.27,28 It is assumed that during the solidification process thethermal equilibrium values of both intrinsic point defects, i.e., va-cancies and self-interstitials, exist at the melt/solid interface. Thesepoint defects are transported axially by thermal diffusion due to the

Figure 5. Top: Configuration of the 64 atoms Si and Ge supercell. Bottom:Typical interstitial sites in the crystal, �a� T, H, Bc, and �b� D.20

Table III. Calculated formation energies (eV) of the unchargedvacancy and self-interstitial in silicon and germanium.18,19

Vacancy Self-interstitial

T H Bc DSi 3.81 3.82 3.56 4.89 3.51Ge 2.56 3.78 3.97 5.10 3.50

thermal gradient �G� at the melt/solid interface and by the crystalitself that is moving away from the melt with the pulling speed �v�.The combination of these two transport mechanisms and the recom-bination of the intrinsic defects determine the dominant intrinsicpoint defect in the cooling crystal and thus, also the type of extendeddefects formed by intrinsic point defect clustering. In cases wherealso a supersaturation of extrinsic point defects is present such as Hor O, clustering of these point defects during crystal cooling alsoleads to the nucleation of precipitates that can grow by the absorp-tion of extrinsic point defects during the further thermal history ofthe crystal. It is well accepted now that silicon crystals pulled with av over G ratio larger than a critical value are vacancy-rich, whilebelow the critical value the crystal is interstitial-rich. For germaniumsuch transition from vacancy-to interstitial-rich material has not yetbeen reported.

Nowadays one of the occasionally occurring defects in Czochral-ski grown germanium is observed as surface pits on polished wafers.As illustrated in Fig. 7 these surface pits are of crystallographicnature and reminiscent of the crystal originated particles �COPs� thatare observed on silicon wafer surfaces where they occur with amuch smaller size and higher density than for germanium. Despitetheir very low densities, this type of defect can be a show stopper forthe production of GeOI wafers and for their use in integrated circuit�IC� processing. A thorough understanding of the process parametersleading to pit formation is therefore essential.

Assuming that the observed pits are formed by vacancy cluster-ing and vacancy-diffusion-limited growth, one can make a crudeestimate of the expected size �and density� as for silicon,29,30 writingfor the edge size of an octahedral void

l = ��DVt�0.5 with � = �8��1/3�CV0 − CV

*

CSi,Ge�0.5

�7�

with CSi = 5 � 1022 cm−3 and CGe = 4.42 � 1022 cm−3. CV0 is the

initial vacancy concentration.In first-order approximation one can write when assuming the

same anneal time

lGe

lSi� �CSi�CV

0 DV�Ge

CGe�CV0 DV�Si

0.5

� 1 �8�

for the same Tm/T.

Oxygen in CZ Silicon and Germanium

While oxygen is the most important neutral impurity in CZ-grown silicon, this is not the case for germanium. One of the reasonsis the lower affinity of oxygen for germanium, which is illustratedby the effective segregation coefficient, which is about 0.11, indicat-ing that oxygen has the tendency to stay in the liquid phase. Highconcentrations of oxygen �1016 to 1018 cm–3� can only be obtainedby growing crystals in an oxygen-containing atmosphere. As forsilicon, the normal lattice site for oxygen is interstitial, whereby it isclose to the BC position between two host atoms. Interstitial oxygen�Oi� is electrically inactive but can be detected by its infrared ab-sorption bands. For silicon and for germanium, the concentration ofOi is determined by the peak amplitude �max of the 1106 and856 cm−1 absorption bands, respectively31,32

Table IV. Calculated formation „EI,V0F

… and migration „EI,V0M

… en-ergies in electronvolts of the uncharged vacancy and interstitialin germanium.18 For the interstitial, the minimum energy isfound for the Š110‹ dumbbell site (D).

EV0F EV0

M EI0F EI0

M Comment

2.21 0.38 2.97�D� 0.76�D� MD with SW potential A2.46 0.4 3.41�D� 0.87�D� MD with SW potential B2.56 0.25 3.5�D� — Ab initio calculations

Page 5: Brother Silicon, Sister Germanium

growth of a 200 mm germanium crystal.

H576 Journal of The Electrochemical Society, 154 �7� H572-H583 �2007�H576

�Oi��in cm−3� = �max�in cm−1� � A �at T = 300 K� �9�

with A = 3.14 and 1.05 � 1017 for Si31 and Ge,32 respectively. Thedetection limit at low temperature is about 1015 cm−3.

The IR measurement does not necessarily reveal the total oxygenconcentration, as some of the oxygen may also be present under theform of SiOx precipitates that can be detected in infrared absorptionby a band at around 1100 cm−1. Near the melting point of germa-nium, the maximum solubility of interstitial oxygen is about 2.2� 1018 cm−3.

The solubility COI and diffusivity DOI of interstitial oxygen aregiven by

COI = C0e−ECOI/kT and DOI = D0e−EDOI

/kT �10�with the actual parameter values for Si and Ge listed in Table V.

Figure 7. Top: Typical atomic force microscopy �AFM� image of a �double�COP on a silicon wafer surface after 4 h SC1 delineation. Bottom: Opticalmicrograph of a surface pit on an as-polished germanium wafer correspond-ing with a truncated octahedral void in the bulk of the wafer.

Table V. Solubility and diffusivity33,34 of interstitial oxygen insilicon and germanium.

C0�atoms/cm3�

ECOI�eV�

D0�cm2 s−1�

EDOI�eV�

Silicon 9 � 1022 1.52 0.14 2.53Germanium 2 � 1023 1.18 0.4 2.08

Figure 6. Simulated temperature distribution of a typical CZ puller during

Page 6: Brother Silicon, Sister Germanium

H577Journal of The Electrochemical Society, 154 �7� H572-H583 �2007� H577

In Fig. 8 the solubility and diffusivity of oxygen in germaniumand silicon are compared as a function of the normalized tempera-ture, illustrating that the solubility is very similar while the diffusiv-ity is much larger in silicon at the same normalized temperature.

Oxygen dimers and oxygen-related shallow donors.— Thermaldonors �TDs� in silicon have been studied for more than 55 yearsnow and it is well established that they are formed by low-temperature clustering of interstitial oxygen. A whole family of do-nors has been identified, associated with increasing numbers of oxy-gen in the TD.35

Annealing in the range 300–500°C also leads to the formation ofoxygen-related shallow donors �OTDs� in oxygen-doped germa-nium. At 350°C one can achieve an almost complete conversion ofOi into OTDs.36-38 It is a reversible process whereby higher equilib-rium concentrations are obtained at lower annealing temperatures.The formation rate of OTDs in Ge at 350°C is much higher than insilicon, in agreement with the much higher diffusion coefficient ofoxygen at that temperature �Fig. 8�. This is illustrated in Fig. 9 and10, showing the rapid decrease of the interstitial oxygen concentra-tion during a 350°C anneal and the rapid increase of the carrierconcentration due to TD formation.37

OTDs consist of a family of double donors, with ionization en-ergy ranging from 14 to 18.1 meV for OTD0 and from31 to 40.5 meV for OTD+. It has recently become clear that dimersplay an essential role in the process of OTD formation ingermanium,38 just as was revealed in silicon during the 1980s �for areview see, e.g., Ref. 39�. Similar to silicon, it is believed that everynext family member is formed by attachment of an additional oxy-gen atom. As in silicon, the ionization energies become shallower,the larger the cluster becomes. In other words, the early memberscorrespond to the deeper states and vice versa. At the same tempera-ture both the solubility and the diffusivity of oxygen are muchhigher in germanium than in silicon. This might be a concern whengermanium layers are in contact with a CZ silicon substrate or withoxide layers during thermal processing. Due to the higher solubilityand diffusivity, germanium layers will getter oxygen that might giverise to TD formation during low-temperature treatments, or evenduring device operation.

Precipitation of oxygen.— Interstitial oxygen precipitation insilicon has been studied for nearly half a century now due to itsimportant impact on material and device properties. Despite the vastamount of data and analyses available a number of open questions

Figure 8. �Color online� Solubility and diffusivity of interstitial oxygen ingermanium and silicon, normalized with respect to the melting temperatureTm.

still remain. It is, for instance, not yet unambiguously determinedwhat oxide phase is formed, nor is the behavior of interstitial oxygenwell understood over the whole anneal temperature range. The quan-titative prediction of oxide precipitate nucleation and its dependenceon the history of the material remains a difficult task.

Similar to silicon, in germanium that is doped with oxygen fromthe melt up to its solubility limit, interstitial oxygen precipitatesduring subsequent thermal treatments in the range between 450 and650°C. This process leads to the formation of germanium oxideprecipitates �GeOx� that can be observed by their typical absorptionbands in Fourier transform infrared �FTIR� spectra.40 This is illus-trated in Fig. 11, showing the typical spectrum observed after athermal treatment of a germanium sample with an initial oxygencontent of 2.5 � 1017 cm−3. Before the precipitation anneal, the in-terstitial oxygen concentration was maximized by performing anoxygen dissolution treatment at 900°C for 5 min in order to dissolveall oxygen clusters, including TDs that were formed during crystalgrowth, followed by a rapid quench to room temperature using ni-trogen gas cooling. Similar to silicon, a shoulder develops on the

Figure 9. Oi concentration �calculated with Eq. 9 using the old Kaiser andThurmond calibration constant A = 5 � 1016� in oxygen-doped Ge vs an-nealing conditions.39

Figure 10. Carrier concentration in oxygen-doped germanium vs annealingconditions.40

Page 7: Brother Silicon, Sister Germanium

H578 Journal of The Electrochemical Society, 154 �7� H572-H583 �2007�H578

interstitial oxygen absorption band which is due to the formation ofa germanium oxide phase. A typical feature is also the presence of aband at around 1100 cm−1 that can be attributed to SiOx precipitatesoriginating from dissolved silicon from the quartz crucible. As SiO2is stable at the germanium melt temperature, these silicon oxideclusters are already formed during crystal growth.

Using the technique developed by De Gryse et al.,40,41 it is pos-sible to determine the shape and phase of the SiOx and GeOx pre-cipitates in annealed oxygen-doped silicon and germanium, respec-tively. Figure 12 shows simulated oxide precipitate spectra super-imposed on measured ones.

Metal Impurities in Si and Ge

Since the early days of semiconductor processing, it was realizedthat great care has to be taken to avoid inadvertent contamination byfast diffusion metals during thermal processing. In the case of ger-manium, copper is the most common contaminant and the mostprominent residual impurity in high-purity CZ-grown material. Oneof the advantages of active Ge layers for nanoelectronic devices isthe reduced thermal budget, typically below 600°C, required for theactivation of implanted dopants in Ge.42 The solubility and diffusiv-ity of metals is higher than in silicon at the same temperatures.Therefore there is a risk that metal impurities are gettered into theelectrically active germanium layers. As the solubility of metals in-creases with doping density, this possibility becomes even higher forhighly doped n+ or p+ Ge layers, e.g., in the source/drain regions ofa metal oxide semiconductor field effect transistor �MOSFET�. Atthe same time, in modern complementary metal-oxide semiconduc-tor �CMOS� processing several metals are being implemented, eitheras a constituent of the high-k metal oxides used as a gate dielectric,or for the formation of self-aligned, low-resistance germanides onthe source, drain, and gate electrodes or in the back-end metalliza-tion �copper…�. Therefore, it is relevant to compare metal diffusiv-ity and solubility in Si and Ge and to estimate the effect of thesemetals on the most important electrical parameters, such as the mi-nority carrier lifetime � and the reverse current in the depletionregion of a p-n junction.

For the use of germanium in combination with silicon technol-ogy, one should pay special attention to those metallic impuritiesthat have a detrimental impact on bulk silicon and/or bulk germa-nium material. For that reason, only Fe, Cu, Ni, Co, and Mn, whichare known to be carrier lifetime killers, are briefly discussed in thepresent paper. In Tables VI-VIII, the diffusivity, solubility, and as-sociated deep levels of these transition metals are given both ingermanium and in silicon. A recent review on the solubility anddiffusivity of metals in germanium has been published by Stolwijkand Bracht.43,44 For processing of GeOI substrates, the material

Figure 11. Infrared absorption spectrum revealing SiOx and GeOx precipi-tates in oxygen-doped and annealed germanium.40

properties of germanium and silicon should be compared at the sameprocessing temperature. Table VIII reveals an apparent similaritybetween the deep levels associated with Fe, Cu, Ni, Mn, and Co inSi and Ge.

Figure 12. Absorption spectrum of SiOx �top� and GeOx �bottom� precipi-tates in oxygen-doped silicon and germanium with a superimposed simulatedspectrum assuming spherical and platelike precipitates.40

Table VI. Diffusivity of metal impurities in germanium andsilicon.

Silicon45-49 Germanium43,45

D0 �cm2 s−1� ED �eV� D0 �cm2 s−1� ED �eV�

Mn 6.9 � 10−4 0.63 - -Fe 1.0 � 10−3 0.67 0.13 1.1Co 9.1 � 10−4 2.8 0.16 1.12Ni 2.0 � 10−3 1.68 0.8 0.91Cu 3.0 � 10−4 0.18 7.8 � 10−5 0.084

Page 8: Brother Silicon, Sister Germanium

H579Journal of The Electrochemical Society, 154 �7� H572-H583 �2007� H579

However, in reality there is a major difference between the be-havior of, e.g., Fe in Si and Ge. While in silicon the interstitial formdominates, most transition metals �TMs� in Ge are incorporated onlattice sites, explaining their multiple acceptor nature. Consequently,Fes will be attractive to holes in Ge with a rather large hole capturecross section. Fei in Si will be a rather effective electron trap �deepdonor�. Based on these considerations and the Shockley-Read-Hallmodel, it is rather straightforward to predict the trends in the recom-bination activity of metal impurities in Si54 and in Ge.55 This leadsto the picture that for the same metal concentration, it is expectedthat the recombination lifetime is lower in n-type Ge, while theopposite generally holds for silicon.

Carrier Lifetime in Silicon and Germanium

Intrinsic carrier lifetime.— Carrier lifetimes in silicon and ger-manium show a similar dependence on dopant concentration andcarrier injection level, as illustrated in Fig. 13. The behavior in mod-erately doped Si and Ge can be explained by the presence of doping-induced recombination centers, whereby the lifetime decreases in-versely proportional to the dopant concentration above a thresholdconcentration of the order of 1016 cm−3. This behavior can be attrib-uted to an increase of the recombination center concentration pro-portional to the dopant concentration. In low-resistivity material theAuger process becomes dominant.

A detailed study of the dependence of carrier lifetime on surfacequality and on dopant concentration and carrier injection level inGe55 and Si56 is published elsewhere.

The effect of metal contamination on minority carrier life-time.— Neglecting the effect of the majority carrier capture crosssection, the generation lifetime related to the presence of a carriergeneration level ET, is given by57-59

�gen = 2�rec cosh�ET − Ei

kT� �11�

Ei = Eg/2 is the intrinsic Fermi level with the bandgap Eg dependingon the temperature according to9

EgSi = 1.17 −4.73 � 10−4 � T2

T + 636

Table VII. Maximum solubility Cmax and segregation coefficientkm of metal impurities in germanium and silicon.

Silicon45-49 Germanium43,44

Cmax �cm−3� km Cmax �cm−3� km

Mn −1015 — 1016 10−6

Fe 3 � 1016 8 � 10−6 1.5 � 1015 3 � 10−5

Co −1015 — 2 � 1015 10−6

Ni 8 � 1017 1.5 � 10−4 8 � 1015 3 � 10−6

Cu 1.5 � 1018 4 � 10−4 6.8 � 1016 1.3 � 10−6

Table VIII. Deep levels (eV) associated with the most harmful metal

Silicon50

Mn EV + 0.27 EC − 0.43 EC −Fe EV + 0.39Co EV + 0.40 EC − 0.41Ni EV + 0.17 EC − 0.38 EC −Cu E + 0.23 E + 0.46 E −

V V C

EgGe = 0.742 −4.8 � 10−4 � T2

T + 235�12�

Ei is 0.562 and 0.331 eV at 300 K for Si and Ge, respectively. Theminority carrier lifetime �rec is given by

�rec =1

NTcmin�13�

with NT the trap concentration and cmin the capture rate given by theproduct of the minority capture cross section �min and the thermalvelocity vth that is given in Table IX.

The most harmful and abundant metals in state-of-the-art indus-trial processing environments are the TMs with atomic numbersfrom 25 to 29, i.e., Mn, Fe, Co, Ni, and Cu. Deep levels associatedwith the presence of those and many other TMs in silicon have beenstudied in detail by Lemke.50

Table X shows the relevant deep-level parameters for these met-als in germanium, based on a broad literature study59 yielding valuesfor the electron ��n� and hole capture cross section ��h�.51 It isstraightforward to calculate the capture rate from cn = �nvth andcp = �pvth, yielding the room-temperature values of Fig. 14 �p-type�and 15 �n-type�. It is obvious from these figures that there are sev-eral capture rates for the different substitutional TMs in Ge corre-sponding with their different charge states; they act as multiple ac-ceptors.

A higher capture rate means a lower minority carrier lifetime�� = 1/NTcn or 1/NTcp, with NT the trap concentration�. Therefore,the most efficient lifetime killers in Ge are Fe, Co, and Ni, while Cuhas only a modest effect on the lifetime. This trend is confirmed bymicrowave absorption lifetime measurements on heat-treated Ge,suffering from contamination in the furnace.51,60 From deep-level

Cu, Ni, Mn, and Co in germanium and silicon.

Germanium1,52,53

EV + 0.17 EC − 0.37EV + 0.34 EC − 0.27EV + 0.09 EV + 0.25 EC − 0.31EV + 0.22 EC − 0.30E + 0.04 E + 0.33 E − 0.26

Figure 13. Carrier lifetime in Si and Ge as a function of dopant concentra-tion and carrier injection level.56

s Fe,

0.11

0.060.16

V V C
Page 9: Brother Silicon, Sister Germanium

H580 Journal of The Electrochemical Society, 154 �7� H572-H583 �2007�H580

transient spectroscopy �DLTS� measurements, it is derived that formaterial containing only substitutional copper �Cus�, lifetimes in therange of a few 100 s can be obtained, while this value is drasti-cally reduced when substitutional nickel �Nis� is present.

Estimation of the impact of metal contamination on diode leak-age current.— The generation current density Jgen is given by

Jgen =qniW

�gen�14�

with q the electron charge, ni the intrinsic carrier concentration, Wthe depletion width, and �gen the generation lifetime.

The intrinsic carrier concentration is given by9

ni = �NcNv�1/2e−�Eg/2kT� �15�with for Si

Nc = 6.2 � 1015T3/2 �cm−3�

Nv = 3.5 � 1015T3/2 �cm−3� �16�and for Ge

Nc = 1.98 � 1015T3/2 �cm−3�

Nv = 9.6 � 1014T3/2 �cm−3� �17�

At 300 K, ni is thus about 9 � 109 cm−3 and 2 � 1013 cm−3 in sili-con and germanium, respectively.

In order to estimate the impact on the carrier generation lifetime�gen, the parameters of Table X have been used. As a further simpli-fication, no field enhancement is assumed, and for each metal onlythe level with activation energy ET closest to midgap is considered,as this is the most effective one for carrier generation.

The leakage current per defect Idefect is calculated from

Idefect =qnicmin

2 cosh�ET − Ei

kT� �18�

The leakage current density for a depletion width W is then givenby

Jgen = Idefect � NT � W �19�Figure 16 represents the calculated leakage current per metal

atom at 300 K based on Eq. 18 and using the capture rate for mi-nority carriers corresponding with the most efficient level. Again, Feand Ni stand out as dominant generation centers in Ge. The corre-sponding leakage current per micrometer depletion width is repre-

Table IX. Carrier thermal velocity vth of electrons and holes atroom temperature.9

Electrons �107 cm/s� Holes �107 cm/s�

Silicon 2.3 1.65Germanium 3.1 1.9

Table X. Parameters used to calculate the leakage current density p

Atomicno. Element

Capture rate cmin�10−8 cm3 s−1�

Si Ge

25 Mn 5 0.3126 Fe 3 � 10−2 3.127 Co 2 0.3128 Ni 9 � 10−2 6.229 Cu 2 0.031

sented in Fig. 17. It is clear that metal impurities are more detrimen-tal in germanium. This is because the deep levels are closer to the

Figure 15. Hole capture rate at 300 K for TMs in n-type germanium.51 Thecharge state of the empty substitutional metal centers is either single ���,double ���, or triple negative ���.

tal atom in silicon50 and in germanium51 at 300 K.

Trap level ET�eV� cosh ET − Ei kT

Si Ge Si Ge

0.43 0.37 83 2.40.39 0.34 388 1.10.41 0.31 179 1.40.38 0.30 571 1.80.46 0.33 26 1.0

Figure 14. Electron capture rate at 300 K for TMs in p-type germanium.51

The charge state of the empty substitutional metal centers is either neutral���, single ���, or double negative ���.

er me

Page 10: Brother Silicon, Sister Germanium

H581Journal of The Electrochemical Society, 154 �7� H572-H583 �2007� H581

band edges compared to silicon due to the smaller bandgap, and alsodue to the capture rates being significantly higher for Ni and Fe,making these metals very harmful for Ge diodes at room tempera-ture or even worse, at the operation temperature of uncooled de-vices. Comparing the leakage currents at the same normalized“room” temperature, which is 222 K for germanium vs 300 K forsilicon, the leakage per deep level associated with a metal atom isagain very similar and also the diode leakage is comparable.

Taking into account the solubility limit of the metals, it is clear,however, that the maximum achievable leakage current densitybased on isolated metal atoms is much lower than what is typicallyfound in state-of-the-art Ge p-n junctions, i.e., 10−3 A/cm2.61

When a supersaturation of metals is present, one should considerprecipitation effects which can also affect the leakage current. Fur-thermore, it was not taken into account that metal atoms can formpairs with dopants �e.g., the iron-boron pair in silicon� or other point

Figure 16. Leakage current generated per metal atom in Si and Ge, calcu-lated for the level closest to midgap with the capture rates of Table IX.

Figure 17. Calculated leakage current at 300 K per micrometer depletionwidth for different TMs vs trap concentration.

defects that lead to deep levels with different properties than theisolated metal atoms. However, this is a largely unexplored field ingermanium, calling for further studies.51

Dopants in Si and Ge

For the fabrication of shallow junctions in Ge, the obvious tech-nique is by ion implantation of the standard group III or V dopants.According to Fig. 18, Ga and P are the best candidates, offering thehighest solid solubility and the lowest sheet resistance.62 However, ithas been shown that for Ge, B ion implantation results in a suffi-ciently high activation in the range of a few 1020 cm−3, which is farabove the equilibrium maximum solid solubility.63 As can be seenfrom Fig. 19, B hardly diffuses at typical processing temperaturesfor Ge CMOS. However, when the implantation is carried out incrystalline Ge, serious channeling prevents the formation of a shal-low junction. Therefore, it is advantageous to apply a Ge preamor-phization, as demonstrated in the right panel of Fig. 19.63

It is also clear that B is not diffusing in Ge, contrary to the caseof silicon. This difference becomes even more pronounced whenplotting the diffusion coefficient vs the normalized temperature.64

While for most other dopants, similar values are obtained, the dif-fusivity of B is decades smaller in Ge than in Si. This is related tothe fact that the diffusion of B in Ge is also interstitial-mediated,65

whereby the formation energy of self-interstitials is similar in bothmaterials. This is against the general belief of vacancy-dominated�self�-diffusion in Ge,64 but recent evidence of interstitial-mediated,transient-enhanced diffusion of B in Ge yields strong support forthis. Overall, the formation of shallow p+ junctions in Ge should beeasier compared with the case of Si due to the marginal diffusion ofB and the metastable activation, employing a standard rapid thermalannealing �RTA�.

Compared with silicon, it is a much larger challenge to fabricatehighly activated shallow n+ junctions in Ge. The problem issketched in Fig. 20 for a high-dose P implantation; it has beenshown that no P diffusion occurs below the intrinsic limit of around2 � 1019 cm−3.66 Above this limit, required for achieving accept-able sheet resistance, extrinsic, concentration-enhanced diffusion oc-curs, as shown in Fig. 20. At the same time, a ceiling of substitu-tional P of 5–6 � 1019 cm−3 is reached66 which cannot be overcomeby standard RTA. This is well below the maximum solid solubilityindicated in Fig. 18 and is most likely related to the presence ofinactive P-V complexes.67 The achieved activation is also signifi-cantly below the specifications of the ITRS road map for the 22 nmCMOS node and beyond. However, recent flash-lamp annealing ex-periments have demonstrated ultrashallow P profiles with active

Figure 18. Maximum solid solubility ��� and sheet resistance ��� for thestandard doping elements in Ge.

Page 11: Brother Silicon, Sister Germanium

H582 Journal of The Electrochemical Society, 154 �7� H572-H583 �2007�H582

concentrations above the 5–6 � 1019 cm−3 ceiling.68 More work isneeded to investigate whether these metastable profiles can with-stand further thermal processing.

Conclusions

In many respects silicon and germanium behave very similarly,especially when comparing their properties at temperatures normal-ized with respect to melt temperature. As a consequence, whenimplementing germanium layers on silicon substrates during deviceprocessing, one has to be aware that the solubility and diffusivity ofmost impurities is considerably higher in germanium. This effect hasto be taken into account during device processing. It can be used ina beneficial way as nonactive germanium islands or layers can beused to getter impurities. Metal impurities have a more detrimentaleffect on active Ge device areas.

Furthermore, as the germanium active layers are processed at thesame temperature as the silicon substrate, it is important to take intoaccount not only the higher solubility and diffusivity of the extrinsicpoint defects in germanium at that temperature but also of the in-trinsic point defects in order to understand and prevent extendedlattice defect nucleation and growth. The fact that Ge is also me-

Figure 20. SIMS profiles corresponding with a 5 � 1015 atom/cm2 15 keVP implantation, as-implanted or after an RTA for 30, 60, or 120 s at 500°C.

chanically softer than silicon has to be taken into account in order toprevent dislocation generation in the Ge layers due to mechanicaland thermal stresses during device processing.

Despite these inherent difficulties, excellent device characteris-tics have been demonstrated recently, confirming the advantages ofusing active Ge layers to improve device performance.69

Acknowledgments

P. Clauws, S. Forment, E. Gaubas, I. Romandic, P. Śpiewak, K.Sueoka, A. Satta, and A. Theuwis are acknowledged for stimulatingdiscussions and the use of coauthored results. Part of this work wassupported by Umicore EOM, the Institute for the Promotion of In-novation by Science and Technology, in Flanders �IWT-Vlaanderen�.

Ghent University assisted in meeting the publication costs of this article.

References1. J. Vanhellemont, O. De Gryse, S. Hens, P. Vanmeerbeek, D. Poelman, P. Clauws, E.

Simoen, C. Claeys, I. Romandic, A. Theuwis, G. Raskin, H. Vercammen, and P.Mijlemans, Defect Diffus. Forum, 230–232, 149 �2004�.

2. Mater. Sci. Semicond. Process., Vol. 9, �2006�.3. T. Tezuka, N. Sugiyama, and S. Takagi, Appl. Phys. Lett., 79, 1798 �2001�.4. J. Vanhellemont, S. Hens, J. Lauwaert, O. De Gryse, P. Vanmeerbeek, D. Poelman,

P. Śpiewak, I. Romandic, A. Theuwis, and P. Clauws, Solid State Phenom., 108–109, 683 �2005�.

5. M. Imae and K. Sumino, Philos. Mag. A, 47, 599 �1983�.6. I. Yonenaga and K. Sumino, Appl. Phys. Lett., 69, 1264 �1996�.7. T. Kruml, D. Caillard, C. Dupas, and J.-L. Martin, J. Phys. Condens. Matter, 14,

12897 �2002�.8. T. Clarysse, P. Eyben, T. Janssens, I. Hoflijk, D. Vanhaeren, and A. Satta, J. Vac.

Sci. Technol. B, 24, 381 �2006�.9. http://www.ioffe.rssi.ru/SVA/NSM/Semicond, April 22, 2007.

10. A. Seeger and K. P. Chik, Phys. Status Solidi 29, 455 �1968�.11. M. S. Kulkarni, V. Voronkov, and R. Falster, J. Electrochem. Soc., 151, G663

�2004�.12. H. Bracht, N. A. Stolwijk, and H. Mehrer, Phys. Rev. B, 52, 16542 �1995�.13. S. Dannefaer, P. Mascher, and D. Kerr, Phys. Rev. Lett., 56, 2195 �1986�.14. J. A. Van Vechten, Phys. Rev. B, 33, 2674 �1986�.15. A. Giese, N. A. Stolwijk, and H. Bracht, Appl. Phys. Lett., 77, 642 �2000�.16. S. Mayburg, Phys. Rev., 95, 38 �1954�.17. A. G. Tweet, Phys. Rev., 106, 221 �1957�.18. J. Vanhellemont, P. Śpiewak, and K. Sueoka, J. Appl. Phys., 101, 036103 �2007�.19. K. Sueoka and J. Vanhellemont, Mater. Sci. Semicond. Process. 9, 494 �2006�.20. K. Sueoka, S. Shiba, and S. Fukutani, Solid State Phenom., 108–109, 365 �2005�.21. P. Śpiewak, M. Muzyk, K. J. Kurzydłowski, J. Vanhellemont, P. Wabiński, K.

Młynarczyk, and I. Romandic, J. Cryst. Growth, 303, 12 �2007�.22. N. Van den Bogaert and F. Dupret, J. Cryst. Growth 171, 65 �1997�; J. Cryst.

Growth, 171, 77 �1997�.23. K. Mlynarczyk, Private communication.24. A. G. Tweet, J. Appl. Phys., 30, 2002 �1959�.25. R. Falster and V. V. Voronkov, Mater. Sci. Eng., B, 73, 87 �2000�.26. M. S. Kulkarnia and V. V. Voronkov, J. Electrochem. Soc., 152, G781 �2005�.27. V. Voronkov, J. Cryst. Growth, 59, 625 �1982�.

Figure 19. Left: Secondary ion massspectrometry �SIMS� profiles of 6 keV 3� 1015 atom/cm2 B-implanted crystallineGe �c-Ge� after different RTA treatments.Right: SIMS profiles of B-implanted,preamorphized Ge �a-Ge� after differentRTA treatments.

Page 12: Brother Silicon, Sister Germanium

H583Journal of The Electrochemical Society, 154 �7� H572-H583 �2007� H583

28. V. V. Voronkov and R. Falster, J. Appl. Phys., 86, 5975 �1999�.29. J. Vanhellemont, J. Appl. Phys., 78, 4297 �1995�.30. J. Vanhellemont, Appl. Phys. Lett., 69, 4008 �1996�.31. A. Baghdadi, W. Bullis, M. Croarkin, Y.-Z. Li, R. Scace, R. Series, P. Stallhofer,

and M. Watanabe, J. Electrochem. Soc., 136, 2015 �1989�.32. V. V. Litvinov, B. G. Svensson, L. I. Murin, J. L. Lindström, V. P. Markevich, and

A. R. Peaker, J. Appl. Phys., 100, 033525 �2006�.33. J. C. Mikkelsen, Jr., Mater. Res. Soc. Symp. Proc., 59, 19 �1986�.34. J. W. Corbett, R. S. McDonald, and D. G. Watkins, J. Phys. Chem. Solids, 25, 873

�1964�.35. R. C. Newman, J. Phys. Condens. Matter, 12, R335 �2000�.36. P. Clauws, Mater. Sci. Eng., B, 36, 213 �1996�.37. P. Vanmeerbeek, Ph.D. Thesis, Ghent University, Ghent, Belgium �2004�.38. P. Vanmeerbeek, P. Clauws, and W. Mondelaers, Physica B, 308–310, 517 �2001�.39. R. C. Newman, in Proceedings of the NATO Advanced Workshop on the Early

Stages of Oxygen Precipitation in Silicon, Exeter, U.K., 1996, R. Jones, Editor,NATO ASI, Series 3, High Technology, Vol. 17, pp. 19–39, Kluwer, Dordrecht�1996�.

40. O. De Gryse, P. Vanmeerbeek, J. Vanhellemont, and P. Clauws, Physica B, 376–377, 113 �2006�.

41. O. De Gryse, P. Clauws, J. Van Landuyt, O. Lebedev, C. Claeys, E. Simoen, and J.Vanhellemont, J. Appl. Phys., 91, 2493 �2002�.

42. A. Satta, E. Simoen, T. Janssens, T. Clarysse, B. De Jaeger, A. Benedetti, I. Hoflijk,B. Brijs, M. Meuris, and W. Vandervorst, J. Electrochem. Soc., 153, G229 �2006�.

43. N. A. Stolwijk and H. Bracht, Landolt-Börnstein, New Series, Vol. III/33, Subvol-ume A: Diffusion in Semiconductors, D. L. Beke, Editor, p. 1, Springer, Berlin�1998�.

44. H. Bracht and N. A. Stolwijk, Landolt-Börnstein, New Series, Vol. III/41,A2, Im-purities and Defects in Group IV Elements, IV-IV and III-V Compounds, Group IVElements, M. Schulz, Editor, Springer, Berlin �2002�.

45. Landolt-Börnstein, New Series, Vol. III/22b, Impurities and Defects in Group IVElements and III-V Compounds, M. Schulz, Editor, Springer Verlag, Berlin, p. 207�1989�.

46. H. Suwaki, K. Hashimoto, H. Nakashima, and K. Hashimoto, Jpn. J. Appl. Phys.,Part 1, 25, 1952 �1986�.

47. E. R. Weber, Appl. Phys. A, 30, 1 �1983�.48. D. Gilles, W. Bergholz, and W. Schröter, J. Appl. Phys., 59, 3590 �1986�.49. H. Nakashima and K. Hashimoto, J. Appl. Phys., 69, 1440 �1991�.50. H. Lemke, in Semiconductor Silicon 1994, PV 94-10, p. 695, edited by H. R. Huff,

W. Bergholz, and K. Sumino, The Electrochemical Society Proceedings Series,Pennington, NJ �1994�.

51. E. Simoen, C. Claeys, S. Sioncke, J. Van Steenbergen, M. Meuris, S. Forment, J.Vanhellemont, P. Clauws, and A. Theuwis, J. Mater. Sci.: Mater. Electron., To bepublished.

52. P. Clauws and E. Simoen, Mater. Sci. Semicond. Process. 9, 546 �2006�.53. S. Forment, J. Vanhellemont, P. Clauws, J. Van Steenbergen, S. Sioncke, M.

Meuris, E. Simoen, and A. Theuwis, Mater. Sci. Semicond. Process., 9, 559�2006�.

54. D. McDonald and L. J. Geerligs, Appl. Phys. Lett., 85, 4061 �2004�.55. E. Gaubas and J. Vanhellemont, Appl. Phys. Lett., 89, 142106 �2006�.56. E. Gaubas and J. Vanhellemont, J. Electrochem. Soc., 154, H31 �2007�.57. D. K. Schroder, IEEE Trans. Electron Devices, 29, 1336 �1982�.58. E. Simoen and C. Claeys, IEEE Trans. Electron Devices, 46, 1487 �1999�.59. E. Simoen and C. Claeys, in Germanium-Based Technologies: From Materials to

Devices, Chap. 5, Elsevier, London �2007�.60. S. Sioncke, E. Simoen, T. Janssens, M. Meuris, P. Mertens, S. Froment, P. Clauws,

and A. Theuwis, ESC Trans., 3, 1109 �2006�.61. A. Satta, G. Nicholas, E. Simoen, B. De Jaeger, and J. Van Steenbergen, Mater. Sci.

Semicond. Process. 9, 716 �2006�.62. E. Simoen, A. Satta, T. Janssens, T. Clarysse, K. Martens, B. De Jaeger, A. Bene-

detti, I. Hoflijk, B. Brijs, M. Meuris, and W. Vandervorst, Mater. Sci. Semicond.Process., 9, 634 �2006�.

63. A. Satta, E. Simoen, T. Clarysse, T. Janssens, A. Benedetti, B. De Jaeger, M.Meuris, and W. Vandervorst, Appl. Phys. Lett., 87, 172109 �2005�.

64. H. Bracht and S. Brotzmann, Mater. Sci. Semicond. Process., 9, 471 �2006�.65. S. Uppal, A. F. W. Willoughby, J. M. Bonar, N. E. B. Cowern, T. Grasby, R. J. H.

Morris, and M. G. Dowsett, J. Appl. Phys., 96, 1376 �2004�.66. A. Satta, E. Simoen, R. Duffy, T. Janssens, T. Clarysse, A. Benedetti, M. Meuris,

and W. Vandervorst, Appl. Phys. Lett., 88, 162118-1 �2006�.67. V. I. Fistul’, A. G. Yakovenko, A. A. Gvelesiani, V. N. Tsygankov, and R. L.

Korchazhkina, Inorg. Mater., 11, 457 �1975�.68. A. Satta, A. D’Amore, E. Simoen, T. Janssens, T. Clarysse, W. Anwand, and W.

Skorupa, Paper presented at The 15th International Conference on Ion Beam Modi-fication of Materials, Taormina, Italy, Sept 18–22, 2006, and accepted for NIM B.

69. P. Zimmerman, G. Nicholas, B. De Jaeger, B. Kaczer, A. Stesmans, L.-Å. Ragnars-son, D. P. Brunco, F. E. Leys, M. Caymax, G. Winderickx, K. Opsomer, M. Meuris,and M. M. Heyns, in Tech. Dig. - Int. Electron Devices Meet., 2006, 655.