Upload
shuvra-saha
View
11
Download
0
Embed Size (px)
DESCRIPTION
design of booth multiplier...with minimum delay
Citation preview
3/19/14 BOOTH MULTIPLIER VLSI CODE | ElecDude:Projects-Jobs-Study Materials-CircuitLab-VLSI-DSP DIP-Elec Softwares
www.elecdude.com/2012/06/booth-multiplier-vlsi-code.html 1/4
Home About Find Us
CAREER JOBS Downloads Projects CKT LAB Materials eBooks Chat Room mentor FORUM
Home BOOTH MULTIPLIER , Verilog codes , VLSI BOOTH MULTIPLIER VLSI CODE
BOOTH MULTIPLIER VLSI CODEElecDude ED | Wednesday, June 13, 2012 | 0 comments
//BOOTH MULTIPLIER
module booth #(parameter WIDTH=4)
( input clk,
input enable,
input [WIDTH-1:0] multiplier,
input [WIDTH-1:0] multiplicand,
output reg [2*WIDTH-1:0] product);
parameter IDLE = 2'b00, // state encodings
ADD = 2'b01,
SHIFT = 2'b10,
OUTPUT = 2'b11;
reg [1:0] current_state, next_state; // state registers.
reg [2*WIDTH+1:0] a_reg,s_reg,p_reg,sum_reg; // computational values.
reg [WIDTH-1:0] iter_cnt; // iteration count for determining when done.
wire [WIDTH:0] multiplier_neg; // negative value of multiplier
always @(posedge clk)
if (!enable) current_state = IDLE;
else current_state = next_state;
always @* begin
next_state = 2'bx;
case (current_state)
IDLE: if (enable) next_state = ADD;
else next_state = IDLE;
ADD: next_state = SHIFT;
SHIFT: if (iter_cnt==WIDTH) next_state = OUTPUT;
else next_state = ADD;
OUTPUT: next_state = IDLE;
endcase
end
// negative value of multiplier.
assign multiplier_neg = -{multiplier[WIDTH-1],multiplier};
// algorithm implemenation details.
always @(posedge clk) begin
Enter your email address:
Subscribe
Delivered by FeedBurner
Elecdude Forum
1
Popular Posts
MatLab Programs - IMPULSE - STEP -
SINE - COSINE -TRIANGULAR -
SAWTOOTH - EXPONENTIAL SIGNALS
GROWING DECAYING -
MatLab Programs In this...
AVR - SPI - Serial Pheripheral Interface
Tutorial - ATmega8 Code
AVR - SPI - Serial Pheripheral Interface
Tutorial - C Code Example - ATmega8
Code AVR ATMega8 microcontroller
has inbuilt SPI module....
AVR SERIAL COMMUNICATION -
EXAMPLE ATMEGA 8 TUTORIAL -
USART HEADER FILE
SERIAL COMMUNICATION TUTORIAL
USING AVR...
PWM USING AVR ATMEGA8 -
TUTORIAL - HEADER
PWM WAVE GENERATION USING AVR
ATMEGA8 ...
C language notes
C language notes Here by C
language ...
Chat
3/19/14 BOOTH MULTIPLIER VLSI CODE | ElecDude:Projects-Jobs-Study Materials-CircuitLab-VLSI-DSP DIP-Elec Softwares
www.elecdude.com/2012/06/booth-multiplier-vlsi-code.html 2/4
Related posts:
1. Image Processing in VHDL - Adding Images
VHDL code
2. VHDL File I/O - File read write code example
3. BOOTH MULTIPLIER VLSI CODE
4. VLSI - Universal Shift register in Verilog Code
5. Verilog Procedural Statement - Blocking And
Non-Blocking Statement Synthesis Reduce
Delay - Pipelining
6. MODELSIM TUTORIAL WORKING WITH
TESTBENCH GETTING STARTED
7. VERILOG TASK AND FUNCTIONS - SYNTAX -
EXAMPLE
8. VERILOG DATATYPES AND OPERATORS -
SYNTAX - EXAMPLES
9. VHDL - SHIFT REGISTER CODE - VLSI LAB
10. VHDL - ASYNCHRONOUS & SYNCHRONOUS
UP DOWN COUNTER CODE - VLSI LAB
subscribe via email
If you enjoyed this article, subscribe to receive
more just like it.
Subscribe via RSS Feed
Privacy guaranteed. We'll never share your info.
case (current_state)
IDLE : begin
a_reg
3/19/14 BOOTH MULTIPLIER VLSI CODE | ElecDude:Projects-Jobs-Study Materials-CircuitLab-VLSI-DSP DIP-Elec Softwares
www.elecdude.com/2012/06/booth-multiplier-vlsi-code.html 3/4
IIR FILTER BCD TO SEVEN SEGMENT DISPLAY EMBEDDED C
Enter your comment...
Comment as: Google Account
Publish
Preview
Search
Labelsmaterials (30) VLSI (29) jobs (23) embedded (21) NONGOVT (19) Govtjob (18) avr(17) Verilog codes (13) Ebook (9) circuit ideas (9) PPT (6) elec softwares (6) c (5) projects (5) vhdl (5)
General (4) RESULTS (4)
January (4)
2011 (27)
ED-1
Categories
avr (17)
c (5)
circuit ideas (9)
circuit lab (2)
Ebook (9)
elec softwares (6)
embedded (21)
gate (3)
General (4)
Govtjob (18)
Keil (1)
materials (30)
MATLAB (9)
NONGOVT (19)
PPT (6)
projects (5)
RESULTS (4)
uncat (1)
Verilog codes (13)
vhdl (5)
Visitors
228,689
FeedJit ED 2 eXTReMe
avr studio (3) gate (3) placements (3) 8051 self learn (2) DIY (2) ISRO (2) SERIAL COMMUNICATION
USING AVR ATMEGA8 (2) circuit lab (2) microcontroller (2) 24Vdc 3A - Voltage regulator - circuit schematic diagram (1) 555 calculator
(1) 7 SEGMENT VLSI CODE (1) 8051 programmer serial port (1) 8051 tutorial part1 (1) 8051 tutorial part2 (1) ALU VLSI CODE (1)
ANSWER KEY FOR GATE 2012 -GATE 2012 ANSWER KEY (1) APPLICATION ENGINEER -TRAINEE - Corel Technologies (1) AVR SPI
(1) About MAX7219 display driver (1) Administrative Officers (Scale-I) - NATIONAL INSURANCE COMPANY LIMITED - ONLINE
Recruitment (1) Android (1) BOOTH MULTIPLIER (1) GROUP DISCUSSION - TECHNIQUES & TIPS (1) GUIDE LINE FOR FRESH
ENGINEERING STUDENTS (1) IMAGE FILE TO TEXT FILE CONVERSION MATLAB TEXT FILE TO IMAGE FILE CONVERSION
MATLAB EXAMPLE (1) IMAGE PROCESSING IN VERILOG - ADD IMAGES IN VERILOG - MATLAB XILINX MODELSIM (1) MODELSIM
TUTORIAL WORKING WITH TESTBENCH GETTING STARTED (1) MatLab Programs - IMPULSE - STEP - SINE - COSINE -
TRIANGULAR - SAWTOOTH - EXPONENTIAL SIGNALS GROWING DECAYING (1) Microchip (1) QUALITIES AND PERSONALITIES
FOR GOOD PERSON & SOME EMPLOYEE AND GET HIRED (1) SPI Working Modes of Operation - Verilog Code - Applications -
Advantages Disadvantages (1) SPI verilog code master code slave code testbench. (1) Simple CGPA Calculator for Engg Colleges Anna
university (1) TRAFFIC LIGHT CONTROLLER VLSI CODE (1) TRANSISTOR CODES AND CHOOSING (1) Tanner tutorial P1 (1)
UNIVERSAL SHIFT REG VHDL CODE (1) VCD Description - Export VCD in Xil inx Modelsim - Example (1) XILINX 13.2 TUTORIALS
(SELF LEARNING MATERIAL ) (1) avr calculator (1) avr serial header (1) chat (1) electronics (1) vhdl image processing add image (1)
3/19/14 BOOTH MULTIPLIER VLSI CODE | ElecDude:Projects-Jobs-Study Materials-CircuitLab-VLSI-DSP DIP-Elec Softwares
www.elecdude.com/2012/06/booth-multiplier-vlsi-code.html 4/4
VLSI (29) Live Traffic Feed
Real-time view Menu
A visitor from Germany viewed
"AVR - SPI - Serial PheripheralInterface Tutorial - ATmega8
Code | ElecDude:Projects-Jobs-
Study Materials-CircuitLab-
VLSI-DSP DIP-Elec Softwares5 mins ago
A visitor from Belm, Para viewed"MatLab Programs - IMPULSE -
STEP - SINE - COSINE -
TRIANGULAR - SAWTOOTH- EXPONENTIAL SIGNALS
GROWING DECAYING - |
ElecDude:Projects-Jobs-StudyMaterials-CircuitLab-VLSI-DSP
DIP-Elec Softwares" 5 mins ago
A visitor from Mumbai,
Maharashtra viewed
"INTERFACING MULTIPLETEMPERATURE SENSORS TO
AVR LM35 ATMEGA8 |
ElecDude:Projects-Jobs-Study
Materials-CircuitLab-VLSI-DSP
DIP-Elec Softwares" 11 mins ago
A visitor from Chennai, Tamil
Nadu viewed "SPI Verilog code -
Master Slave code with testbench
| ElecDude:Projects-Jobs-Study
Materials-CircuitLab-VLSI-DSPDIP-Elec Softwares" 15 mins agoA visitor from Russian Federationviewed "AVR - SPI - SerialPheripheral Interface Tutorial -
ATmega8 Code |ElecDude:Projects-Jobs-Study
Materials-CircuitLab-VLSI-DSPDIP-Elec Softwares" 16 mins ago
A visitor from Istanbul viewed"AVR SERIAL
COMMUNICATION -EXAMPLE ATMEGA 8
TUTORIAL - USART HEADERFILE | ElecDude:Projects-Jobs-
Study Materials-CircuitLab-VLSI-DSP DIP-Elec Softwares
17 mins ago
A visitor from United States
viewed "VCD Description -Export VCD in Xilinx Modelsim -
Example | ElecDude:Projects-Jobs-Study Materials-CircuitLab-
VLSI-DSP DIP-Elec Softwares21 mins ago
A visitor from Ty Ninh, Tay Ninhviewed "AT89SXX ISP FLASH
PROGRAMMER SERIALPORT - 8051 Programmer - ISP |ElecDude:Projects-Jobs-Study
Materials-CircuitLab-VLSI-DSPDIP-Elec Softwares" 22 mins ago
A visitor from India viewed"BOOTH MULTIPLIER VLSI
CODE | ElecDude:Projects-Jobs-Study Materials-CircuitLab-
VLSI-DSP DIP-Elec Softwares25 mins ago
A visitor from Bandung, JawaBarat viewed "MatLab Programs -
IMPULSE - STEP - SINE -
COSINE -TRIANGULAR -SAWTOOTH - EXPONENTIAL
SIGNALS GROWING
260 GHsTechnobitminertechnobit.eu
Coin craft A1 8 chip
board 999 eur
ElecDude:Projects-Jobs-Study Materials-CircuitLab-VLSI-DSP DIP-Elec Softw ares 2012 | Design by Kang Ismet - Bloggerized by Free Blogger Templates Gallery Supported by Free Classif ied Ads