Upload
others
View
9
Download
1
Embed Size (px)
Citation preview
ALD FOR INDUSTRY
DECEMBER 02 – 03, 2020
PROGRAM BOOKLET
4th Workshop & Tutorial
WITH INDUSTRIAL EXHIBITION AND
COMPANY TOURS - DIGITAL EVENT
3
Content
Program Committee 4
PREFACE 5
PROGRAM Wednesday, December 2, 2020 6
Jonas Sundqvist 8
Dmitry Suyatin 15
Nils Boysen 16
Henrik Pedersen 17
Nicolas Blasco 18
Christoph Hossbach 19
Ganesh Sundaram 20
Kalle Niiranen 21
De Jonghe Veronique 22
PROGRAM Thursday, December 3, 2020 23
Martin Knaut 25
Linda Jäckel 26
Tobias Törndahl 27
Rémy Gassilloud 28
Joël Matthey 29
Sascha Bönhardt 30
Maksym Plakhotnyuk 31
Jacques Kools 32
Bernd Hintze 33
Paul Plate 34
Aileen O'Mahony 35
Industrial Exhibition 36
List of Attendees 46
EFDS-Membership 49
Industrial Collective Research 50
Participate in our 4 Technical Committees 51
Next EFDS-Events 52
Imprint
Organizer
European Society of Thin FilmsGostritzer Straße 63
01217 Dresden
Germany
Tel: +49 351 8718370
www.efds.org
Co-Organizer
ALD Lab [email protected]
www.ald-lab-saxony.de
© E
FDS
4 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2 – 3, 2020PROGRAM COMMITTEE
PROGRAM COMMITTEEDr. Jonas Sundqvist
BALD Engineering AB Dresden, Germany
Dr. Henry Bernhardt Infineon Technologies Dresden GmbH, Dresden, Germany
Dr. Christoph HossbachPicosun Oy and Picosun Europe GmbH, Dresden, Germany
Dr. Lukas Mayr BASF SE, Ludwigshafen, Germany
Bernd HintzeResearch Fab Microelectronics Germany (FMD), Dresden, Germany
Dr. Katrin Ferse European Society of Thin Films (EFDS), Dresden, Germany
Data Privacy Statement: According to your consent during the online registration a list of participants with name and company affil-iation was created and published exclusively in the conference proceedings. The data is collected according to the data privacy statement of the European Society of Thin Films. You can find the data privacy statement under: https://www.efds.org/datenschutz.
©p
icos
un
Oy
5PREFACE
PREFACEA topical workshop with focus on industrialization and commercialization of ALD for current and emerging marketsAtomic Layer Deposition (ALD) is used to deposit ultra-conformal thin
films with sub-nm film thickness control. The method is unique in the
sense that it employs sequential self-limiting surface reactions for growth
in the monolayer thickness regime. Today, ALD is a key technology in
leading edge semiconductor technology and the field of application in
other industries is increasing rapidly. According to market estimates the
equipment market alone is currently at an annual revenue of US$
1.8-1.9 billion (2018) and it is expected to double in the next 4-5
years.
In a European context ALD was invented independently twice in Europe
(Russia & Finland) and since the last 15 years Germany has grown to
become one of the strongest European markets for ALD in R&D, chem-
icals, equipment and end users.
This year we will organize the 4th EFDS “ALD For Industry Workshop” –
digital. ALD for Industry provides the opportunity to get in contact with
industrial and academic partners, to learn more about fundamentals of
ALD technology and to get informed about recent progress in the field.
The Event will focus on the current markets for ALD and addresses the
applications in Semiconductor industry, MEMS & Sensors, Battery
Technology, Medical, Display, Lightning, Barriers and Photovoltaics.
©p
icos
un
Oy
6 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2 – 3, 2020PROGRAM | DECEMBER 2, 2020
PROGRAM Wednesday, December 2, 2020
13:00 Opening & Tutorials
13:10 Current and Emerging ALD Processes, Precursors, IP Trends and Applications in High Volume ProductionJonas Sundqvist, BALD Engineering AB, Värmdö, Sweden
13:30 ALE for NanopatterningDmitry Suyatin, Lund University, Lund, Sweden
13:50 Precursor Chemistry for the ALD of Functional Thin Films: Synthesis, Evaluation and ApplicationsNils Boysen, Ruhr-Universität, Bochum, Germany
14:10 Break
14:40 Workshop Session
14:40 Keynote Lecture When Time-Resolved CVD Outperforms Continous CVD-ALD as the Enabler for InN Based ElectronicsHenrik Pedersen, Linköping University, Sweden
15:10 ALD of Noble Metals – Challenges & Perspectives for Ru and Pt ALD PrecursorsNicolas Blasco, Air Liquide, Paris, France
15:30 ALD for Challenging 3D Structures: Industrial ApplicationsChristoph Hossbach, Picosun Oy and Picosun Europe GmbH, Dresden, Germany
15:45 Break
12:00 – 13:00 Warm-UpRegistration, technical support & networking
14:20 – 14:40 Market Place & Meeting RoomsNetworking and topical discussion
Opening of Market Place Break Room – Who is Who?
Market Place Tutorial – Talk | Q & A
7PROGRAM | DECEMBER 2, 2020
16:15 Workshop Session
16:15 Batch ALD for 5G High Volume ApplicationsGanesh Sundaram, Veeco Instruments, Waltham, USA
16:30 Industrial Production of Moisture Barrier Coatings by Atomic Layer DepositionKalle Niiranen, Beneq Oy, Espoo, Finland
16:45 Conformal Thick Dielectric Deposition on 3D Structures at CVD SpeedVeronique De Jonghe, Plasma-Therm, St. Petersburg, USA
17:00 End of official program – day 1
17:00 – 18:00 AFTER WORK Meeting & ALD Quiz
15:55 – 16:15 Market Place & Company TourTour, networking & topical discussion
Market Place
digital Company Tour at FHR Anlagenbau GmbH
8 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2, 2020TUTORIAL
Current and Emerging ALD Processes, Precursors, IP Trends and Applica-tions in High Volume ProductionALD is critical in leading the emiconductor technology, and the field
of application in other leading-edge industries is increasing rapidly.
According to market estimates, the equipment market alone is currently
at an annual revenue of approaching US$ two billion, and it is expected
to double in the next 4-5 years. Having been extensively studied over
three decades for the replacement of silicon dioxide (SiO2) in conven-
tional semiconductor fabrication, ALD hafnium oxide, among other
high-k dielectrics was finally adopted by Samsung in 2004 in high
volume manufacturing at the 90 nm DRAM node as the high-k capacitor
dielectric. The other DRAM companies followed, and later in 2007,
Intel introduced ALD HfO2 at the 45 nm node as a high-k gate dielectric.
These events lead to a boom in the ALD equipment and precursor
market, which was followed by additional processes, precursors,
materials, and applications for ALD in in additional industries, which
will be given in the presentation.
Jonas Sundqvist, Ph.D. – Senior Technology Analyst at TECHCET LLC CA,
has over 20 years of work experience includes Group Leader of the
Thin-Film Technologies Group at The Fraunhofer Institute for Ceramic
Technologies and Systems (IKTS) and of the High-k devices group at
Fraunhofer’s Center Nanoelectronic Technologies (CNT), which included
28nm node work for GLOBALFOUNDRIES Fab1. At Infineon Memory
Development Centre (MDC) he developed high-k and metal nitride ALD
processes, and at Qimonda he was a materials manager focused on
the ALD / CVD precursors supply-chain. He is the founder of BALD
Engineering, an independent blog and networking platform for ALD.
He holds a Ph.D. and a M.S. in inorganic chemistry from Uppsala
University, Sweden and a degree in electrical and electronics engineering
from Lars Kagg, Kalmar, Sweden, and nine patents and >40 Publications.
Jonas Sundqvist
Senior Technology Analyst | BALD Engineerining AB / TECHCET LLC CA
9TUTORIAL
PRESENTATION
AALLDD//CCVVDD PPrreeccuurrssoorr AApppplliiccaattiioonnss && MMaarrkkeett TTrreennddss
Jonas Sundqvist, PhDSenior Market Research Analysts
EFDS ALD for Industry, Dresden, GermanyDecember 2, 2020
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
OutlineSemiconductor – Wafer start forecast driving the CVD & ALD precursor market
Leading Edge LogicDRAM3DNAND
Cobalt for LogicRuthenium for LogicIP Filing in the ALD/CVD Space
Applications & FabricatorsPrecursor SuppliersEquipment ManufacturersCobalt CVD/ALDArea Selective Deposition and Etch
Emerging Metals
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
10 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2, 2020TUTORIAL
Recap - Updates during CMC2020“TECHCET and The CMCFabs are very happy to have the Top 3 Companies in the Precursor market and The Leading OEMs ASM International and Tokyo Electron presenting at CMC2020”
Air Liquide: New Etching Gases for Advanced Memory Manufacturing, Ashutosh Misra, PhD
MERCK: Selectors for Emerging Memories: How Are They "Materially" Different?, Milind Weling
Adeka: ALD Precursor Developments for Mass Production of Future Semiconductor Devices, Akihiro Nishida
ASM International: Materials Evolution & Challenges In ALD/EPI FEOL, Pamela Fischer, PhD
Tokyo Electron: Selective Deposition for Advanced Patterning, Kandabara Tapily, PhD
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
Drive for ALD/CVD – 300mm Wafer Fabs
3DNAND growth and lateral scaling >196 Layers will continue to drive demand for silicon specialty gases, W, Ti, and Etch gases.
Leading-edge Logic drives growth for e.g. Si, Hf, Ti, Ta, W & Co
DRAM cyclic and flat; will contribute slightly less than before (Si, Al, Ti, Zr, Hf, Nb) -
20
40
60
80
100
120
140
160
180
200
0%10%20%30%40%50%60%70%80%90%
100%
2017 2018 2019 2020 2021 2022 2023 2024
TECHCET 2020-3Q release- Annual Wafer Starts (Millions of 200mm Equivalent / Year Including Utilization)
Logic 45 and below - DRAM 65 and below -
3D NAND - Total Leading Edge 300mm
3DNAND
DRAM
Logic
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
Cobalt for LogicCobalt PECVD was introduced as copper barrier/seed and selective cap replacing CoWP caps at the 14/16nm Logic node
Main Co Applications today
1. Co Liner
2. Co Cap
3. Co Contact →→
4. Co Via/Lines →→
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
11TUTORIAL
To CVD or to Plate – that´s the question
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
Ruthenium for LogicRuthenium has been evaluated for DRAM and Logic for 20 years
Precursor Supplier Vapor pressure Pro ConRu(EtCp)2 All ~1 Torr @90°C POR Nucleation
DER Tosoh ~1 Torr @90°C Improved nucleation
Cost
ToRuS Air Liquide 25 Torr @RT High VP CVD-type
Ru3(CO)12 All ~0.1 Torr @70 °C
TRuST Tanaka ~ 1 Torr @RT High VP Un proven
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
TRuST
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
IP Filing in the ALD/CVD for Electronic Applications
12 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2, 2020TUTORIAL
Tracked Fabricators in the TECHCET CVD/ALD IP DATABASE
Fewer Leading edge fabs
Who is filing what and when?OEMs: AMAT, TEL, LAM, ASM, …Supplier: Air Liquide, ADEKA, MERCK, …Material: Si, Hf, Co, Ru, Nb, …Fabricator: Samsung, Intel, TSMC, Micron, …
0 500 1000 1500 2000 2500 3000 3500 4000 4500
Hynix Semiconductor Inc.
Taiwan Semiconductor MFG Co. Ltd.
Micron Technology Inc.
IBM
Toshiba KK
Intel Corp.
Sony Corp.
NEC Corp.
Sharp KK
Siemens AG
Bell Semiconductor LLC
Magnachip Semiconductor Ltd.
Freescale Semiconductor Inc.
IP Since 1907 in the CVD/ALD by Semi Fabricators
Grants Applications Families
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
Example: Co Precursor IPThe Top 3 precursor suppliers leads the has 57% of the Co patent families for ALD/CVD applications used in electronic devices:
1. MERCK
2. Air Liquide
3. Adeka
26%
20%
11%8%
8%
7%
7%
5%4% 4%
Chemical Supplier, Patent Families2000-2020
MERCK(Versum, IM)
AIR Liquide
Adeka Corp.
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
EXAMPLE: IP Filing in Selective Deposition and Etch
13TUTORIAL
EXAMPLE: IP Filing in Selective Deposition and Etch
0
100
200
300
400
500
600
1974
1977
1980
1983
1986
1989
1992
1995
1998
2001
2004
2007
2010
2013
2016
2019
IP filed for Selective Deposition since 1974
Families Applications Grants 0
10
20
30
40
50
60
70
80
90
100
Families
Pate
nt F
amili
es
Top 20 Family AssigneesApplied Materials
IBM
Taiwan Semiconductor MFG Co. Ltd.
Globalfoundries Inc.
ASM International
Tokyo Electron Ltd.
Canon Inc.
Micron Technology Inc.
LAM Research Corp.
Intel Corp.
3D Systems Inc.
Samsung Electronics Co. Ltd.
Lam Research
United Microelectronics Corp.
Microfabrica Inc.
Commissariat A Lenergie Atomique ET AUX EnergiesAlternativesTexas Instruments Inc.
Sandisk Technologies LLC
Infineon Technologies AG
Motorola Inc.
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
Forecast: Metal/High-k Precursors• Healthy growth in all
segments and especiallyCo and Hf
• Ruthenium will grow to an US$10 M in 2025 ifimplemented in leadingedge nodes. Front ups arecontinued use of Co and W.
• Nb is currently only in useby one DRAM maker, segment is < US$1M
• Slowed growth 2022 due to DRAM
0
100
200
300
400
500
600
700
800
2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024
Total ALD/CVD High-k & Metal Precursor Market 2014 to 2024
Total Ru (n.n)
Total Zr (ZrCp, TEMAZr)
Total Al (TMA, AlCl3)
Total Hf (HfCl4)
Total Co (CoCOCp, CCTBA)
Total Ti (TDMAT, TiCl4)
Total Ta (PDMAT)
Total W (WF6)
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
Forecast: Dielectric PrecursorsHealthy growth in all segments
Less growth in 2020 ascompared to Metal/High-k precursors due to large dependence on legacynodes
Continued growth in Multi-Patterning precursors(DIPAS/BDEAS)
3DNAND layer stacking driving dielectric segment up (e.g. TSA and HCDS)0
100
200
300
400
500
600
700
800
2014 2015 2016 2017 2018 2019 2020 2021 2022 2023 2024
Dielectric Precursor Market 2014 to 2024
BTBAS
DIPAS/BDEAS(SAM24)
TSA
HCDS
TEOS
3MS, 4MS
Low k, interconnect
SOD
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
14 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2, 2020TUTORIAL
Emerging Materials
Metal Lines, Barriers and Via Fills
IBM Lanzillo IITC 2020
Metal/Barrier Barrier/Fill Source. Cobalt Via Fill HVM Co/Zr Barrier layer Tohoku University
Bilayer hex-TaN/a-Ta barrier Barrier Layer Lanzillo
Ruthenium Via Fill IMEC Cu/Ru(Zn) Barrier Adhesion LAM/Fudan
Molybendum
NI/Cu Line RPI Ni/Al Line IMEC
Rh/Ir line RPI
Selective W Via Fill AMAT
MOL type Example for Via and Line
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
Summary
Healthy growth in all segments and especially Co and HfDRAM position as driver for CVD and ALD processes taken over by 3DNAND and Logic, which is seen in both Wafer starts and IP-filing
Most potential for new metal precursors currently in interconnects for logic
New metals emrging: Ru, Ir, Mn, MoSelective deposition will be key for success
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
www.techcet.com EFDS ALD for Industry, Dresden, Germany
December 2, 2020
Thank You!Tack så mycket!
Jonas Sundqvist, [email protected]
www.techcet.com CMC2020 Hillsboro, October, 2020
Copyright 2020 TECHCET CA LLC all rights reserved [email protected]
15TUTORIAL
ALE for NanopatterningToday the progress in advanced electronics demands atomic precision
control of device processing. Etching is a process in the semiconductor
industry which is used to remove unwanted material and over decades
development of advanced plasma based etching has been critical for
enabling downscaling of electronic components. Atomic Layer Etching
(ALE) is an atomic precision etch process based on cyclic self-limiting
steps. This separation of etch process into small discreet steps pro-
vides an unprecedented control over material etch and can provide
a damage-free etch process. Often ALE is considered as a counterpart
to atomic layer deposition (ALD) process. The high degree of process
control makes ALE to be very important now for fabrication of leading
edge electronic components. In this talk a review of recent progress
in applications of ALE processes for nanopatterning will be presented.
Dmitry Suyatin received a PhD degree in physics and mathematics from
Moscow State University in 2009 for technology and studies of electron
transport in semiconductor nanowires. Dr. Suyatin is a co-founder
and CTO of AlixLabs and has over 15 years of interdisciplinary experience
in advanced processing of nanostructures. He authored more than
30 peer reviewed papers. Lately his research interest was primarily in the
development and applications of Atomic Layer Etching (ALE) processes.
Dmitry Suyatin
Research engineer | Lund University
16 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2, 2020TUTORIAL
Nils Boysen received his M. Sc. in Chemistry under the supervision of
Prof. Dr. Anjana Devi (Inorganic Materials Chemistry) at the Ruhr Uni-
versity Bochum. Currently, he is employed as a research associate in
the Devi Lab (Inorganic Materials Chemistry). The main focus of his
work lies in the development of new coinage metal (Cu, Ag) precursors
for demanding spatial atomic layer deposition (sALD) techniques and
the formation of ultra-thin metal films. Further, he is interested in
improving thermal ALD processes for the formation of rare-earth oxides
thin films by rational precursor design.
Precursor Chemistry for the ALD of Functional Thin Films: Synthesis, Evaluation and Applications
The fabrication of functional thin films for various applications by
atomic layer deposition (ALD) plays a crucial role in the micro- and
optoelectronic industry. One of the most important factors during
the deposition of functional thin films with the afore-mentioned
techniques is the behavior of the chemical precursor during evaporation,
transport and interaction with the substrate. The thermal properties,
reactivity and stability of the precursor not only influences the ALD
process, but also the functional properties of the resulting thin films
that form an important figure of merit for farbicating thin films that
are applicable in micro- and optoelectronic devices. This presentation
should give an overview on the opportunities and challenges associ-
ated with rational precursor design for the application in ALD processes.
Nils Boysen
Wissenschaftlicher Mitarbeiter / Research Associate
Inorganic Materials Chemistry, Ruhr University Bochum
17WORKSHOP
When time-resolved CVD outper-forms continuous CVD – ALD as the enabler for InN based electronics
Indium nitride (InN) is highly interesting for high frequency and high
speed electronics given the very high electron mobility in InN. The
development of InN based electronics is currently hampered by the
difficulty in depositing high quality thin films. The InN crystal breaks
down to In metal and nitrogen gas at about 500 °C which limits the
deposition temperature, making conventional chemical vapor deposi-
tion (CVD) poorly suited for InN. In my talk I will describe our work on
ALD of InN films where we focus on ALD process development and de-
sign of new precursors. We can show that ALD is an enabling technol-
ogy for InN based electronics and is capable of depositing continuous,
epitaxial InN films. I will describe our results on epitaxial growth of
InN by ALD, our latest results using our newly invented In precursor
and early results on ALD grown device-like structures with and InN
channel.
Henrik Pedersen received his M.Sc. in Chemistry in 2004 and his Ph. D.
in Materials Science in 2008, both from Linköping University in Sweden.
After a stint as industrial researcher at Sandvik Tooling Research and
Development center in Stockholm, Sweden, he returned to academia
and is today Professor of Inorganic Chemistry at Linköping University.
He has during the past decade been exploring CVD for electronic ma-
terials, hard coatings, and neutron detectors. His research is focused
on understanding and developing new and better chemical vapor
deposition methods by considering the time dimension in CVD, devel-
oping new precursors and new ways to use plasmas in CVD.
Henrik Pedersen
Professor | Linköping University, Sweden
18 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 3, 2020WORKSHOP
ALD of Noble Metals – Challenges & Perspectives for Ru and Pt ALD Precursors
In the last 10 years, Ruthenium ALD has raised considerable interest
owing to attractive Ru metal bulk properties, such as low resistivity,
high work function, and high thermal/chemical stability. However, its
industrial application have remained limited due to cost vs. benefit.
More recently, Ru ALD triggered renewed interest in the semiconductor
industry with specific focus on selective deposition, through a variety
of strategies going from surface inhibition, nucleation control via ALD
precursor tailoring, as well as artificial constrast increasing by etch-back.
In this talk, we will first review existing and new Ru ALD precursors,
with focus on their advantages and drawback from an industrialization
viewpoint, and then illustrate selective deposition approaches for
advanced devices through several case studies.
Nicolas Blasco has over 15 years of experience in the ALD field at Air
Liquide, and experience ALD industrialization from its infancy to its
current massive deployment. Within AL, Nicolas held various positions
of increasing responsibilities in R&D, business development, technolo-
gy direction, and is now in charge of advanced materials applied to
emerging markets. He is International Senior Expert of the AL group
and holds over 15 granted patents, several of them having matured
to large scale industrialization.
Nicolas Blasco
Director, Technology and Emerging Markets
Air Liquide Advanced Materials
19WORKSHOP
ALD for Challenging 3D Structures: Industrial Applications
In this talk we give an overview on typicall applications in the field
of industrial ALD for challenging 3D structures and on the recent
developments at Picosun. Additionally, we present selected applica-
tions in more detail. Here one focus is on three-dimensional
MIM capacitors with aspect ratio of up to 1:100 and area capacity of
1 µF/mm². In this application ALD allows uniform deposition of TiN
electrodes and alumina or alumina hafnia nanocoatings resulting
in 3D microcapacitors with excellent energy density and device per-
formance. Another promissing application for ALD batch coatings is
in the field of thin-film solid-state batteries where ALD-grown titania
can act as ultra-conformal electrode material in fast-charging Lithium
microbatteries with high capacity. A macroscale 3D application of ALD
is in the field of medical device coating. We give an update on selected
work at Picosun in the field of hermetic encapsulation.
Christoph Hossbach obtained his Dr.-Ing. in Electrical Engineering
in 2013 with a thesis on ALD and PEALD. Since 2017 he is General
Manager at Picosun Europe GmbH responsible for Germany, Austria
and the Netherlands. Earlier he worked as a Senior Scientist at TU
Dresden, IHM. His fields of expertise include Atomic and Molecular
Layer Deposition, Chemical Vapor Deposition, metrology, as well as
tool and component design. Dr. Hossbach is co-founder of ALD Lab
Saxony and was involved in teaching and consulting.
Christoph Hossbach
General Manager | Picosun Europe GmbH
20 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 3, 2020WORKSHOP
Batch ALD for 5G High Volume Applications
The recent advances in RF and optical technologies, that support the
rapid expansion of devices within the framework of 5G communica-
tions, has in turn sparked a strong interest in the use of Atomic Layer
Deposition (ALD) for a variety of applications. These applications, in
addition to exploiting the salient features of the ALD films, have also
placed stringent requirements on the actual deposition system, in
terms of performance, throughput, and handling.
This presentation will explore some select applications, as well as
elements of the system design which are optimal for high volume
manufacturing (HVM) use.
Ganesh Sundaram is currently Vice-President of Applied Technology
at Veeco Instruments. He is responsible for the research and develop-
ment activities of Veeco’s Atomic Layer Deposition business unit.
Dr. Sundaram holds a Ph.D in condensed matter physics from the
University of Oxford. Prior to his work at Veeco, he held scientific
positions at Ultratech, Cambridge NanoTech, Schlumberger Technolo-
gies, and Texas Instruments.
Ganesh Sundaram
Vice President of Applied Technology | Veeco
21WORKSHOP
Industrial production of moisture barrier coatings by Atomic Layer Deposition
Moisture penetration presents a challenge for electrical component
lifetimes, particularly in high-temperature and high-humidity environ-
ments. ALD offers a coating solution based on dense, conformal and
pin-hole free dielectric thin films. This talk explores the industrial
production of moisture barrier coatings deposited using large-batch
ALD. We look at the overall application and its requirements, while
showcasing practical production through a case example of moisture
barrier coatings on printed circuit board assemblies (PCBAs).
MSc. (Tech.), Materials Science and Engineering (Aalto University,
Finland). Currently the Technical Sales Manager for central Europe at
Beneq Oy. 4+ Years Experience in Process Development and scaling to
industrial production utilizing Atomic Layer Deposition.
Kalle Niiranen
Technical Sales Manager | Beneq Oy
22 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 3, 2020WORKSHOP
Conformal thick dielectric deposi-tion on 3D structure at CVD speed
New applications based on 3D TSV and Mems structures require con-
formal and thick films deposition of hundreds of nanometers. Our
technology named ‘FAST’ for Fast Atomic Sequential Technology precisely
addresses these requirements thanks to its pulsed CVD technology.
Compatible with conventional and innovative Metallo-organic precur-
sors as well as gases, FAST already offers a wide range of oxide layers
(SiO2, ZnO), nitrides (SiN, TiN) and metal (Cu, Co) deposition. By ad-
justing pulses duration and frequency of the precursor and reactant
injection, properties of the layers can be optimized, and conformality
can be engineered and tuned.
For example, a conformal and thick layer deposition is 10 times faster
by FAST than ALD, (deposition rate: 1 – 200 nm/min) in structures with
an aspect ratio from 1:1 to 15:1 or more.
In addition, FAST’s versatility makes possible to fill pillars structure of
7:1 aspect ratio without any void.
After her PhD, V. De Jonghe held various positions (process development,
process integration, quality management) within Philips Components
(Display), Philips semiconductors, NXP, Tronics TDK, STMicroelectronics,
e2v-Teledyne, CEA LETI in the field of CMOS, MEMS technology, Photo-
voltaic, and Spatial Imagery.
De Jonghe Veronique
Senior Process Program Manager | Plasma-Therm
23PROGRAM | DECEMBER 3, 2020
PROGRAM
© E
FDS
Thursday, December 3, 2020
09:00 Tutorial Session
09:00 In Situ Metrology for ALD ProcessesMartin Knaut, TU Dresden, Dresden, Germany
09:20 Optimization of Atomic Layer Deposition Processes Using Simulation: an OverviewLinda Jäckel, Fraunhofer ENAS, Chemnitz, Germany
09:40 ALD for Photovoltaic ApplicationsTobias Törndahl, Uppsala University, Uppsala, Sweden
10:00 Break
08:30 – 09:00 Warm-UpNetworking & Tour Market Place
08:40 – 09:00Insights into Production at Trumpf Hüttinger„Production-Tour Video & RF- and MW- Power Generators for PEALD applications”Carsten Winnewisser, Trumpf Hüttinger GmbH + Co. KG, Freiburg, Germany
10:10 – 10:30 Market Place & Meeting Rooms Networking and topical discussion
Market Place Tutorial – Talk | Q & A
24 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2 – 3, 2020PROGRAM | DECEMBER 3, 2020
© E
FDS
10:30 Workshop Session
10:30 Elevator Pitch: MKS Instruments Deutschland GmbH, Gencoa Ltd., VAT Deutschland GmbH, Pegasus Chemicals Ltd.
11:00 ALD at CEA-Leti: From Research to ApplicationsRemy Gassilloud, CEA Leti, Grenoble, France
11:20 Innovative ALD Industrial ServicesJoël Matthey, Positive Coating, Law Chaux-de-Fonds, Switzerland
11:40 ALD of Titanium Nitride as Ultra-Thin-Lithium-Ion Diffusion BarrierSascha Böhnhardt, Fraunhofer IPMS CNT, Dresden, Germany
12:00 Break
12:10 – 12:30 Market Place & Meeting RoomsNetworking & topical discussion
Market Place ALD Talk | Q & A
12:30 Workshop Session
12:30 Direct Atomic Pattern PrintingMaksym Plakhotnyuk, ATLANT 3D Nanosystems, Kgs. Lyngby, Denmark
12:45 Large Area ALD Coatings for Health, Environment and Energy ApplicationsJacques Kools, Encapsulix SAS, Simiane-Collongue, France
13:00 Aspects of ALD Work within the FMD and Potential ExtensionsBernd Hintze, Research Fab Microelectronics Germany (FMD), Dresden, Germany
13:15 In-Situ-Real-Time and Ex-Situ Spectroscopic Analy-sis of Al2O3 Films Prepared by Plasma Enhanced Atomic Layer DepositionPaul Plate, SENTECH Instruments GmbH, Berlin, Germany
13:30 Plasma ALD Processing of GaN Power and RF Devices for High Volume ManufacturingAileen O´Mahony, Oxford Instruments, Bristol, Unit-ed Kingdom
13:45 End of the official program – day 2
All rooms are open also after the event for longer discussions.
25WORKSHOP
• Studies and doctorate at the Technische Universität Dresden
• Scientist at the Institute of Semiconductors and Microsystems,
Technische Universität Dresden since 2007
• Work in the field of atomic layer deposition and thin film metrology
since 2004
• Development and optimization of measurement techniques and
approaches for ALD processes since 2007
• Founding member of the "ALD Lab Saxony"
In situ metrology for ALD processes
Atomic Layer Deposition is a versatile deposition process offering ex-
cellent control over film properties and outstanding reproducibility.
Nevertheless, the development of novel processes needs a detailed
characterization of the interaction between process parameters and
film growth. Further, many ALD processes aren't as simple as the ide-
alized ALD principle promises. Therefore adapted ex situ and in situ
metrology methods are essential to develop, monitor and control ALD
processes. This talk will demonstrate how in situ metrology approach-
es can be used for advanced tool control and detailed process moni-
toring.
Martin Knaut
Group Leader Atomic Layer Processing | Institute of Semiconductors
and Microsystems, Technische Universität Dresden
26 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 3, 2020WORKSHOP
Optimization of Atomic Layer Deposition Processes Using Simula-tion: an OverviewThis tutorial will give a broad overview of the main simulation
techniques used for investigating atomic layer deposition processes
on various scales - the reactor scale, the feature scale, and the atomic
scale. It will demonstrate the possibilities and benefits of those tech-
niques for ALD equipment manufacturer and users but also address
their limitations. Examples illustrate the discussed simulation techniques.
The focus of this talk will lie on the reactor scale where computational
fluid dynamics (CFD) simulations are used. This method is already
applied for ALD and it is an import tool to develop, investigate, and
optimize ALD processes and ALD equipment. Investigating ALD processes
at the atomic scale using density functional theory (DFT) will also be
addressed more deeply. DFT gives insights into the often unknown
ALD chemistry. Moreover, this talk will look at different particle based
simulation techniques.
Linda Jäckel is a researcher at the Fraunhofer Institute for Electronic
Nano Systems (ENAS) in Chemnitz. She received her B.Sc. and M.Sc. in
mechanical engineering from Technische Universität Bergakademie
Freiberg. Being a trained simulation engineer, she investigates
deposition processes for the semiconductor industry using computational
fluid dynamics simulations. Her research focus lies on reactor scale
simulations for atomic layer deposition and chemical vapor deposition
processes.
Linda Jäckel
Simulation engineer | Fraunhofer ENAS
27WORKSHOP
ALD for photovoltaic applicationsThe interest in using atomic layer deposition (ALD) for photovoltaic
(PV) applications has steadily increased over time, where the self-
terminating surface reactions of ALD lead to good thickness control,
uniformity and conformality of the grown layers. Depending on solar
cell device structure, the ALD layers can be used in different ways such
as electron and hole transport layers, surface passivation and TCO
materials. The most mature example of ALD for PV today is the use of
alumina layers for surface passivation in full-scale industrial produc-
tion of silicon solar cell modules.
For thin film solar cell devices, the growth control of ALD is very
important together with the relatively soft properties of thermal
low temperature ALD processes. One example is for metal halide
perovskite solar cells that have recently shown a major increase in
device efficiency. This can lead to low cost PV modules as well as
cost effective tandem structures.
Tobias Törndahl received his PhD degree in Inorganic chemistry in
2004 at Uppsala University on the topic of ALD of copper compounds
and copper metal. Since 2005, he has been active in the area of
thin film solar cells at the Division of Solar Cell Technology, Uppsala
University. The main part of the research aims at controlling material
properties of ALD and CVD layers and their respective interfaces in
several thin film solar cell device structures.
Tobias Törndahl
Assoc. Prof. | Uppsala University
28 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 3, 2020WORKSHOP
ALD at Leti: from research to industry
Leti started to work on atomic layer deposition in 1998 with the
deposition of thin 2nm-HfO2 on large 200mm silicon substrates for
FEOL applications. Since that period, ALD never stop to grow in Leti
clean-rooms. In this presentation, we will show how, where and why
ALD became critical in certain applications. We will started to present
early activity at Leti on HfO2, followed by the development of other
dielectrics and metals such as Ta2O5, or TaCN using plasma enhanced
ALD. Then, we will show advanced processes where ALD is well adapted
such in the control of vanadium dioxide stoichiometry. We will also
give some examples of selective ALD deposition. Finally, we will present
very advanced works on 2D metal dichalcogenides (TMD) materials,
trying to explain why ALD is one of the most suitable solution to deposit
atomic thin TMDs on large area.
Dr. Rémy Gassilloud received a M. S. degree in condensed matter from
Univ. Lyon and a M. S. degree in mechanics from Ecole Centrale de Lyon,
France. Then, he obtained his PhD graduation from the Univ. Erlangen,
Germany in 2005. In 2005, he joined the Front-end group at CEA-LETI
and worked on gate development for CMOS FDSOI and Resistive Mem-
ory OxRAM. Since 2015, he is involved in 2D TMD growth at Leti by
Atomic Layer Deposition. He is member and organiser of the French
ALD network RAFALD.org.
Rémy Gassilloud
Researcher | CEA-Leti
29WORKSHOP
INNOVATIVE ALD INDUSTRIAL SERVICES
Positive Coating provides thin-films coating services based on magne-
tron sputtering and atomic layer deposition technologies. Combining
the benefits of both processes, the company is mainly active in the
luxury sector. Positive Coating is the pioneer that has brought ALD within
the Swiss watchmaking industry as early as 2014. Heading now for
diversification, Positive Coating makes its knowledge and expertise
available to other industrial applications. Based on innovation and
quality, it stands as a partner to develop custom-made activities
related to surface engineering. New challenging applications in the
medical field will be addressed. In the presentation, two patented
processes will be discussed. Firstly, a unique method to produce
two-tone components without organic masking. Secondly, a ultra-
white coating that combines electroplating and ALD technologies.
Finally, commercial applications and examples will be presented.
Bachelor of Micro-technologies Engineering (1998).
Since 1999, I have been active in decorative PVD thin films for the
watchmaking industry. In 2004, I joined the Positive Coating SA team.
Since 2011, I have been in charge of implementing ALD technology
within Positive Coating SA activities. Since 2003, I have been lecturing
surface engineering courses at the Haute Ecole Arc Ingénierie
(Switzerland).
Joël Matthey
R&D Project Manager | Positive Coating SA
30 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 3, 2020WORKSHOP
ALD of Titanium Nitride as ultra-thin Lithium-Ion Diffusion Barrier
Upcoming autonomous microelectronic devices for the Internet- of-
Things require ultralow power consumption enabling on-chip energy
storage. Integrated 3D thin-film batteries (TFB) are a promising solu-
tion to meet these demands. But the diffusion of Li-ions into the Si
substrate would damage surrounding components, detach the battery,
and lead to loss of battery capacity.
Titanium nitride (TiN) is an excellent Li-ion diffusion barrier and cur-
rent collector. Thin films manufactured by plasma-enhanced atomic
layer deposition (PE-ALD) show superior blocking properties compared
to sputtered layers. However, the employed remote plasma ALD was
challenging for a conformal coating of structured substrates.
Hence the aim of this work was to produce and to compare Titanium
nitride thin films deposited by thermal ALD-TiN with the PE-ALD layers.
The results show, that under the right conditions, the properties of
the thermal layers can even excel the PE-ALD layers at even lower film
thickness.
With a masters degree in electrical engineering Sascha Bönhardt is
currently working as a scientist in the field of low power electronics
at the Institute for Photonic Microsystems (IPMS) in Dresden. Dedicat-
ed to analysis, design and improvement of ALD and Plasma-ALD reac-
tors during and after is studies at TU-Dresden, ALD and thin films still
play a vital role in his daily work. Right now he's focused on the de-
velopment of Lithium-ion based ALD thin film batteries, as well as
analogue and mixed signal design for sensors and energy conversion.
Sascha Bönhardt
Scientist | Fraunhofer Society
31WORKSHOP
Direct atomic pattern printing
Recently maskless lithography and selective are atomic layer deposition
techniques attracted huge interest in scientific and industrial commu-
nities due to a possibility to simplify patterning of layers required to
design and fabricate micro and nanodevices. However, each of these
techniques still use the existing lithography based approach based on
layer by layer complex processing involving multiple techniques.
Our company developed a novel method and technology for direct
atomically precise micro-patterning with lateral resolution of 90 mi-
crometers and possibility to print first oxides materials, but also any
other materials available for ALD processes.
We have successfully demonstrated the first printed simple geometries
with several oxide materials. Our goal is to test this technology with
industrial partners and our mission is to enable simplified atomic
precision micropatterning with multiple materials leading to rapid
microprototyping and innovation.
Dr. Maksym Plakhotnyuk is an entreprenuer and scientist with a passion
in nanotechnology particular ALD. He found the company, ATLANT 3D
Nanosystems, together with Ivan Kundrata and Prof. Julien Bachmann
in 2018 to bring the new atomic printing technology of micro and
nanodevices. He previously worked as a postdoc at the DTU (DK)
where he also defended his PhD in Nanotechnology. Prior he received
MSc in Nanotechnology from University of Illinois (US) and MSc and
BSc in Microelectronics from the VNTU (UA).
Maksym Plakhotnyuk
CEO | ATLANT 3D Nanosystems
32 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 3, 2020WORKSHOP
Large Area ALD Coatings for Health, Environment and Energy Applications
In this talk, we will review some application of ALD coatings on large
surfaces for different applications with strong societal impact.
Two main categories will be treated are:
1) Encapsulation layers which allow to increase the lifetime of a variety
of electronic components, by reducing corrosion.
2) Photocatalytic layers which allow to reduce the spread of infectious
diseases by accelerating the neutralization of microbiological objects
(i.e. virus, bacteria and fungi) onto surfaces.
We will discuss how the unique features of the ALD method allows to
create coatings with the highest level of performance.
I have 35 years of experience in R & D related to thin film deposition
and etching. I started my career at Philips Research Labs in the
Eindhoven, The Netherlands. I then spend about 8 years in the capital
equipment industry in Silicon Valley (CVC and Veeco) . Since 2005,
I am based in the South of France on an entrepreneurial path.
I founded Encapsulix in 2011.
Jacques Kools
CEO & Founder | Encapsulix SAS
33WORKSHOP
Aspects of ALD work within the FMD and potential extensions
In the talk an overview about the ALD landscape in the Research
Fab Microelectronics Germany (FMD) is given. Ideas to extend our
cooperational approach in Germany will be shown. We also discuss
some aspects of our international cooperation in Europe.
• active coordination of science and technology development
in Germany and in Europe,
• in depth knowledge in process integration BEOL (Cu metallization),
• in depth knowledge of PVD/CVD/ALD processes in micro and nano
electronics, as well as solar applications in the fields of research
and development and in production environments,
• Knowledge in production planning,
• Lead of several public funded projects in Saxony,
• Project management.
Bernd Hintze
Technology Park Manager Si based Technologies
Research Fab Microelectronics Germany (FMD)
34 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 3, 2020WORKSHOP
In-situ real-time and ex-situ spectroscopic analysis of Al2O3 films prepared by plasma enhanced atomic layer deposition.
The SENTECH Real-Time-Monitor (RTM) with its very high time resolu-
tion is well suited for the in-operando investigation of atomic layer
deposition (ALD) processes. In the present work RTM was used to
monitor the inductively coupled plasma-enhanced (ICPE) ALD process
of Al2O3 thin films. Thereby each step of the ALD process was precisely
resolved with 24 ms time resolution. In combination with ex-situ
ellipsometry and X-ray photoelectron spectroscopy, the influences of
plasma power, plasma pulse duration, and deposition temperature on
the film quality were investigated. Al2O3 films deposited by thermal
ALD (T-ALD) utilizing the same reactor were used as a benchmark.
The optical properties of ICPEALD deposited Al2O3 films are comparable
with those of T-ALD films. However, depending on the used plasma
pulse duration and power, additional adsorption processes are ob-
served. The RTM was used to identify and minimize this unintentional
adsorption, resulting in high quality Al2O3 films.
Dr. Paul Plate received his PhD in chemistry from the Technical Univer-
sity Berlin. During his Phd he worked at the Institute for Solar Fuels
located at the Helmholtz-Zentrum Berlin developing ALD processes
for transition metal oxides. After his Phd he joined the ALD group of
SENTECH Instruments working as ALD process engineer. At SENTECH he
is working on new ALD processes and the hardware of SENTECH ALD
equipment.
Paul Plate
ALD Engineer | SENTECH Instruments GmbH
35WORKSHOP
Plasma ALD Processing of GaN Power and RF Devices for High Volume Manufacturing
Low damage, remote plasma enhanced atomic layer deposition (PEALD)
is a key enabling technology for high volume manufacturing of efficient,
high performance GaN devices. These GaN devices facilitate the next
generation of power electronics and RF applications such as 5G networks,
electric vehicles and renewable energy conversion. Device data will
be presented to demonstrate how low damage remote PEALD enables
improved performance for GaN devices through surface engineering
of the GaN substrate using plasma prior to deposition of a high-quality
dielectric layer. Atomfab is optimised as the high volume manufacturing
PEALD solution for low damage plasma processing of GaN devices while
achieving high throughput, low CoO, and device reliability.
Aileen O’Mahony is an Atomic Scale Processing Product Manager at
Oxford Instruments Plasma Technology. Aileen has a PhD in Chemistry
from University College Cork, Ireland, in the field of Atomic Layer
Deposition (ALD) for microelectronics applications. Aileen has worked in
the US and UK on industry-driven process development for the com-
mercialisation of ALD-functionalised products and is now focused on
advancing Atomic Scale Processing product solutions at Oxford Instru-
ments. She is the author and co-author of over 20 publications, and
has presented at numerous international conferences and workshops.
Aileen O'Mahony
Product Manager | Oxford Instruments Plasma Technology
36 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2 – 3, 2020
INDUSTRIAL EXHIBITIONPlatinum Sponsors:
Gold Sponsors:
Additional Sponsors:
Picosun Groupwww.picosun.com
Listwww.list.lu
VATwww.vatvalve.com
STREMwww.strem.com
Euriswww.euris-semiconductor.com
SENTECH GmbHwww.sentech.de
Genocawww.genoca.com
Veeco Instruments GmbHwww.veeco.com
CS Clean Solutions AGwww.csclean.com
EpiValence Limitedwww.epivalence.com
Pegasus Chemicals Limited www.pegasuschemicals.com
iCAM Engineering Limitedwww.icamengineering.co.uk
MKS Instruments Deutschland GmbH | www.mksinst.com
Muegge GmbH www.muegge.de
Plasma-Therm www.plasmatherm.com
Beneq www.beneq.com
TRUMPF Hüttinger GmbH + Co. KG www.trumpf-huettinger.com
37SPONSORS
Picosun is the leading AGILE ALD® (Atomic Layer Depo-sition) technology provider for microelectronics and other industries.
PICOSUN® product portfolio ranges from fully automat-ed, SEMI compatible 300 mm industrial ALD systems to smaller scale research and pre-pilot tools, with special dedication to cost-efficient, turn-key production solutions for up to 200 mm wafer markets and 3D component pro-cessing.
Picosun offers you the best-in-class thin film technology for conventional IC, compound and power semiconduc-tors, LEDs, MEMS, sensors, and 3D components such as medical devices and implants, PCBAs, machinery parts, watch parts, coins and jewelry.
Picosun coating solutions combine the leading process quality, agile and innovative equipment design, and the most comprehensive after-sales support and services.
For companies driven by
innovation
www.picosun.com
38 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2-3, 2020SPONSORS
Optix is a robust multi-purpose instrument for gas sensing in any vacuum environment.
Functioning through an operating pressure range of 10-6mbar up to 1mbar, Optix caters for most industrial vacuum production processes without any requirement for differential pumping.
Less sensitive to contamination than RGA's, Optix is ideally suited to processes with a high volume of organics such as ALD.
For further information contact [email protected] or visit www.gencoa.com/optix
CLEANSORB® EXHAUST GAS ABATEMENT
www.csclean.com
FOR R&D AND MANUFACTURING CVD, ALD, Plasma Etch, Ion Implantat ion and many more process appl icat ions
Safe, dry chemical conversion of hazardous gases to stable solidsProprietary CLEANSORB® mediaNo handling of toxic wastePractically maintenance-freeLocal Refill service worldwide
CS Cleansysten/EFDS 0118.indd 1 17.01.18 11:18
39SPONSORS
Chemical Manufacturer for ElectronicsWith more than 40 years of experience in the chemicals sector, EpiValence is positioned to develop, manufacture
and supply innovative precursors that enable our customer’s process innovations to be realised.
[email protected] • +44(0) 1642 924 904www.epivalence.com
Technical Support
Supply Chain ExpertsGlobal Distribution
UKAS AccreditedProduct Development
ALD/CVD Precursors
40 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2-3, 2020SPONSORS
YOUR PARTNER FOR INDUSTRIAL MICROWAVE- AND PLASMA-SYSTEMSMUEGGE technology is the solution for all microwave assisted applications from industrial heating to large area deposition on a global scale. Together with our American branch, Gerling Applied Engineering, Inc., we develop and continuously improve new processes and applications for almost all markets and industries.
PLASMA ALDPlasma assisted ALD enables low temperature deposition without compromising the film quality for a wide range of precursors. MUEGGE’s proprietary microwave assisted plasma source is key to generate these precursors at high density to deposit ALD layers at highest quality.
Let’s bring Power to your Projects.
→ WWW.MUEGGE.DE
Addressing the demands of Atomic Layer Deposition—today and into the future—with critical technologies that enhance uptime, optimize yield and throughput, and improve productivity.
Visit MKS at ALD FOR INDUSTRYDecember 2-3, 2020
For more information about our ALD solutions, visit www.mksinst.com or call +49 (0)89 4200080.
• Improve precursor reactivity• Generate contamination-free layers• Create highly uniform thin films• Increase thin film reproductivity
Critical Technology Solutionsfor Atomic Layer Deposition
Remote Plasma SourceOzone Gas Generator
RF Plasma Generator Pressure Transducer
41SPONSORS
EURIS Products and ServicesAccelerate your innovationswww.euris-semiconductor.com
Worldwide many Universities, Research Institutes, and Manufacturing companies work verysatisfactorily with Arradiance GEMStar Systems. The GEMStar product line is a precise andreliable desktop size ALD platform, from just a thermal system with 4 precursors up to acomplete PEALD system with 8 precursors and 4 plasma gases. Arradiance also offersintelligent solutions for particle coating and has a lot of experience in the field of energyresearch, like Perovskite solar cells, catalysts-development, and battery research. Euris takescare of all technical support in Europe, like installation and field service.
Euris GmbHWaldmeisterstrasse 7280935 München
Molecular Innovation TMEnabling Technologies for our
World and Beyond
High Quality and Cost-Effective ALD Systems for R&D and
production
Tel.: +49 89 [email protected]
www.euris-semiconductor.com
Pegasus ChemicalsChemical Precursors for ALD and CVD
High purity, specialist packaged chemistry for Atomic Layer Deposition (ALD) and Chemical Vapour Deposition (CVD).
Chemical precursors from small scale (R & D) to high volume manufacture, packaged for individual applications.
Chemical Precursor
Design Development Manufacture Analysis- Precursor design for targeted ALD and CVD applications- In house proprietary analytical methods- Transfill and refill of high purity chemistry in Pegasus or customer owned containers- Customer owned containers collected, cleaned and prepared prior to refill- Delivery package design to optimise chemical delivery- Integrated technical support- Global supply of the most advanced metalorganic chemistry
Specialist Chemistry to Support InnovationFor all enquiries, please contact:[email protected] www.pegasuschemicals.comPegasus Chemicals Limited +44 (0) 1244 521869an ISO9001:2015 registered company
42 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2-3, 2020SPONSORS
Plasma-Therm KOBUS half pg Ad.indd 1Plasma-Therm KOBUS half pg Ad.indd 1 2/3/2020 3:53:32 PM2/3/2020 3:53:32 PM
RELIABLE UNDER ALL CONDITIONS.Heading into the unknown to open new horizons demands reliable tools. Help turn your resarch goals into reality.
Vacuum valve solutions and bellows from VAT provide unfailing reliability and enhanced process safety – under all conditions.
www.vatvalve.com
43SPONSORS
PEALD and process monitoring from SENTECH Instruments
✓ Real time monitoring of ALD process
with 24 ms resolution
✓ Spectroscopic in situ-ellipsometer
✓ PEALD systems for optical applications
✓ Combining ALD and PECVD
For further information please contact: www.sentech-sales.de or [email protected]
SENTECH GmbH Konrad-Zuse-Bogen 13, 82152 Krailling, Germany Phone: +49 89 897 9607-0
44 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2-3, 2020SPONSORS
45SPONSORS
LIST Materials
Functionalcoatings
Fibres/matricesadhesion
Structures &multifunctional
composites
Photocatalysis& energy
harvesters
Bio-basedpolymers &composites
Advancedmanufacturing
Smartnanocomposites
Sensors & actuators
TRUMPF Hütt inger – generat ing plasma excitat ion.
There might be one strongerplasma energy source …
... but certainly not one that is as precise. TRUMPF Hüttinger generators can be accurately regulated. And therefore offer ideal solutions
for plasma excitation in industry and research. Whether for the production of semiconductors, fl at panel displays or solar cells. TRUMPF
Hüttinger’s generators impress through reliable technology and excellent availability – with simple system integration. High process
stability and rapid-reaction arc management – for optimum process results. www.trumpf-huettinger.com
46 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2 – 3, 2020LIST OF ATTENDEES
LIST OF ATTENDEESas at
November 24, 2020
Firstname Name Company City Country
Noureddine Adjeroud Luxembourg Institute of Science and Technology (LIST)
Esch-Alzette Luxembourg
Wojciech Andrysiewicz Centrum Bada i Rozwoju Technologii dla Przemysłu S.A.
Kraków Poland
Gilbert Anzer Anzer Friedrichshafen Germany
Yameng Bao Aalto University Espoo Finland
Henry Bernhardt Infineon Technologies Dresden GmbH & Co. KG
Dresden Germany
Nicolas Blasco Air Liquide Advanced Materials Paris France
Sascha Bönhardt Fraunhofer-Institut für Photonische Mikrosysteme IPMS CNT
Dresden Germany
Jan Borck VAT Deutschland GmbH Dresden Germany
Nils Boysen Ruhr-Universität Bochum Bochum Germany
Ronan Connell iCAM Engineering Limited Connahs Quay United Kingdom
Hywel Davies EpiValence Ltd Cleveland United Kingdom
Veronique de Jonghe Plasma-Therm St. Petersburg, FL
USA
Natalie Delattre THERMOCOAX Suresnes France
Quentin Demarly Air Liquide Advanced Materials Paris France
Alexandra Dobrowolski Luxembourg Institute of Science and Technology (LIST)
Esch-Alzette Luxembourg
Frank Eisenkrämer Leica Microsystems CMS GmbH Wetzlar Germany
Katrin Ferse Europäische Forschungsgesellschaft Dünne Schichten e.V.
Dresden Germany
Bernd Franz MKS Instruments Deutschland GmbH München Germany
Mathias Franz Fraunhofer-Institut für Elektronische Nanosysteme ENAS
Chemnitz Germany
Wojciech Gajewski TRUMPF Huettinger Sp. z o.o. Zielonka Poland
Remy Gassilloud CEA Leti Grenoble France
Jonas Geßler Veeco GmbH Aschheim Germany
Joos Hanssen Euris GmbH München Germany
Jochen Held Huber + Suhner AG Herisau Switzerland
Christina Hildebrandt Fraunhofer-Institut für Solare Energiesysteme ISE
Freiburg Germany
47LIST OF ATTENDEES
Firstname Name Company City Country
Bernd Hintze Research Fab Microelectronics Germany FMD
Dresden Germany
Viviane Hoffmann MKS Instruments Deutschland GmbH München Germany
Jens Hofmann MUEGGE GmbH Reichelsheim Germany
Christoph Hossbach Picosun Europe GmbH Dresden Germany
Ann Hughes Pegasus Chemicals Limited Flintshire United Kingdom
Nikolas Hunzinger TRUMPF Hüttinger GmbH + Co. KG Freiburg Germany
Dirk Isfort Carl Zeiss Jena GmbH Oberkochen Germany
Linda Jäckel Fraunhofer-Institut für Elektronische Nanosysteme ENAS
Chemnitz Germany
Mia Jokiluhta Beneq Oy Espoo Finland
Margrit Kaiser SENTECH Gesellschaft für Sensortechnik mbH
Krailling Germany
Guram Khelashvili STREM Chemicals Inc. Bischheim France
Marcus Klein SENTECH Instruments GmbH Berlin Germany
Hannes Klumbies FHR Anlagenbau GmbH Ottendorf- Okrilla
Germany
Martin Knaut Technische Universität Dresden Dresden Germany
Leif Kochanneck Laser Zentrum Hannover e.V. (LZH) Hannover Germany
Grit Köckritz Europäische Forschungsgesellschaft Dünne Schichten e.V.
Dresden Germany
Jacques Kools Encapsulix S.A. Simiane- Collongue
France
Grit Kotschenreuther Europäische Forschungsgesellschaft Dünne Schichten e.V.
Dresden Germany
Lars Lietzau Merck KGaA Darmstadt Germany
Lie Luo Beneq Oy Espoo Finland
Olivier Marchand Cleanpart Seyssinet Pariset
France
Joël Matthey Positive Coating SA La Chaux-de-Fonds
Switzerland
Lukas Mayr BASF SE Ludwigshafen Germany
Florian Meyer Gencoa LTD Liverpool, L24 9HP
United Kingdom
Bruno Michel MKS Instruments Deutschland GmbH München Germany
48 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2 – 3, 2020LIST OF ATTENDEES
Firstname Name Company City Country
Simon Naef VAT Vakuumventile AG Haag Switzerland
Jörg Neidhardt VON ARDENNE GmbH Dresden Germany
Kalle Niiranen Beneq Oy Espoo Finland
Aileen O'Mahony Oxford Instruments Plasma Technology Bristol United Kingdom
Andreas Panckow Europäische Forschungsgesellschaft Dünne Schichten e.V.
Dresden Germany
Jörg Patscheider Evatec AG Trübbach Switzerland
Henrik Pedersen Linköping University Linköping Sweden
Yannick Pilloux Plasma-Therm St. Petersburg, FL
USA
Maksym Plakhotnyuk ATLANT 3D Nanosystems Kongens Lyngby
Denmark
Paul Plate SENTECH Instruments GmbH Berlin Germany
Marco Radehaus Fraunhofer IKTS Dresden Germany
Christian Schlößmann MUEGGE GmbH Reichelsheim Germany
Frank Schmidt SENTECH Instruments GmbH Berlin Germany
Marcus Schüler FHR Anlagenbau GmbH Ottendorf- Okrilla
Germany
Sankaran Sivaramakrishnan Picosun Europe GmbH Dresden Germany
Ganesh Sundaram Veeco Waltham, MA USA
Jonas Sundqvist BALD Engineering AB Värmdö Sweden
Dmitry Suyatin Lund University LUND Sweden
Tobias Törndahl University Uppsala Uppsala Sweden
Andreas Wilk Umicore AG & Co. KG Hanau- Wolfgang
Germany
Carsten Winnewisser TRUMPF Hüttinger GmbH + Co. KG Freiburg Germany
Liao Zhongquan Fraunhofer Institute for Ceramic Technologies and Systems IKTS
Dresden Germany
Fadi Zoubian SAIREM Dècines CEDEX France
49
EFDS-MEMBERSHIP
About us
• EFDS-Team
(Managing Director + 4 staff members)
• EFDS - Executive Board
(10 honorary members from industry & research)
• EFDS - Advisory Board
(16 honorary members from industry & research)
• around 200 members from industry and research
Your benefit as EFDS member
• active and established network
• participation in 4 Technical Committee
• cooperation and participation in Workshops, Tutorials,
Conventions and Conferences
• access to the network PLASMA GERMANY and contact to
10 additional associated networks
• exclusive events for EFDS members only
• training possibilities
• various possibilities to present your Company or Institute
• participation in accompanying committees in projects
of the Industrial Collective Research
f. l. t. r.
Dr. Andreas Panckow Network Manager
Grit Kotschenreuther Project Assistent
Grit Köckritz Managing Director
Dr. Katrin Ferse Network Manager
Linda Kappler Innovation Manager
50 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2 – 3, 2020
INDUSTRIAL COLLECTIVE RESEARCH
EFDS is member of the AiF – German Federation of Industrial Research
Associations and initiates projects for the “Industrial Collective Research”
Program funded by the Federal Ministry of Economic Affairs and Energy.
We organize the exchange of experiences between research and economy
to solve shared problems through shared projects. This pre-competitive
research is aimed to open up modern surface technologies.
Become a member and use the strength of our network.
CORNET Projects are the international variant of the Industrial Collec-
tive Research Program. It is a network of ministries and funding
agencies that combine their existing funding schemes to increase the
competitiveness of SMEs. In this way, CORNET supports funding organ-
isations worldwide to introduce pilot actions and schemes for
pre-competitive Collective Research.
Participation in a CORNET project allows SMEs, SME associations or
groups and research organisations to build an international network
and benefit from know-how and resources that might not be availa-
ble in their own country or region. Currently 13 countries and regions
participate in this funding program.
Cornet Partner Countries: Austria, Belgium Flanders, Belgium Wallonia, Brazil, Canada, Czech Republic, Germany, Japan, Netherlands, Peru,
Poland, Switzerland, Turkey
© c
hom
bos
an /
fot
olia
If you are interested in participation, please contact us.Grit Köckritz | phone +49 351 871 8375
We gladly give you advice.
51
PARTICIPATE IN OUR 4 TECHNICAL COMMITTEES
FABMBeschichtungen für die Bio- und MedizintechnikLeiter: Marian Böhling, FHR Anlagentechnik GmbHNächste Sitzung: 29.04.2021, Greifswald
FAFKFunktionalisierung von KunststoffenLeiter: Michael Fliedner, COTEC GmbHNächste Sitzung: 29.04.2021, Greifswald
FABFBeschichtungstechnologien für optische und elektronische FunktionalisierungLeiterin: Dr. Grit Hüttl, GfE Fremat GmbHNächste Sitzung: 20.04.2021, Berlin
FATSTribologische SchichtenLeiter: Andrè Hieke, IHI Ionbond AG NetherlandsNächste Sitzung: 23.06.2021, Bingen
• information to recent projects of the Industrial Collective Reserach
• active contribution to projects
• regular exchange and training possibilities
• company tours
• embossment of your image and your expertise
• contribution to the topical focus of EFDS events
• Our expert committee meet twice a year at changing places
Your benefit as member of the technical committee
Do you have questions? Please contact us:
Grit Köckritz phone +49 351 871 8375
We gladly give you advice.
52 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2 – 3, 2020
NEXT EFDS-EVENTS
Aufbauseminar: Grundlagen der Plasmatechnik19. Januar 2021 | Fraunhofer- Institut für Organische Elektronik,
Elektronenstrahl- und Plasmatechnik FEP, Dresden
Workshop: Sensorik für autonome Systeme24. Februar 2021 | Hotel an der Oper, Chemnitz
PLASMA GERMANY: Frühjahrssitzung PLASMA GERMANY2. – 3. März 2021 | Universelle Werke - TechnologieZentrum, Dresden
Fachausschuss FABF: Beschichtungstechnologien für optischeund elektronische Funktionalisierungen20. April 2021 | SENTECH Instruments GmbH, Berlin
FachausschussFABM: Oberflächen und Beschichtungen in der Bio- und MedizintechnikFAFK: Funktionalisierung von Kunststoffen29. April 2021 | Leibniz-Institut für Plasmaforschung und Technologie
INP, Greifswald
Workshop: Wasserstofftechnologien8. Juni 2021
Workshop: Oberflächenmodifikation und Endbearbeitung additiv gefertigter Bauteile – SurfAM315. – 16. Juni 2021 | Fraunhofer-Institut für Werkstoff- und
Strahltechnik IWS, Dresden
Fachausschuss FATS: Tribologische Schichten23. Juni 2021 | Oerlikon Balzers Coating Germany GmbH, Bingen
Tagung & Industrieausstellung: V2021 – Vakuum & Plasma12. – 14. Oktober 2021 I Internationales Congress Center, Dresden
ALD for Industry1. – 2. Dezember 2021| Dresden
01 | 2021
04 | 2021
06 | 2021
10 | 2021
12 | 2021
02 | 2021
03 | 2021
Weitere Informationen sowie die Online-Anmeldung finden Sie unter: www.efds.org.
V2021VAKUUM & PLASMAINTERNATIONALES CONGRESS CENTER DRESDEN
12. BIS 14. OKTOBER 2021
www.efds.org/v2021
Aussteller-Anmeldunggeöffnet
2021
Dünne Schichten und Oberflächen fürEnergie · Bio & Medizin · Optik · Werkzeuge & Bauteile
Zusatzworkshop »Vom Messwert zum digitalen Zwilling« und V-Workshop »Oberflächentechnik in der Praxis«
54 PROGRAM BOOKLET | ALD FOR INDUSTRY | December 2 – 3, 2020TEXT
Europäische Forschungsgesellschaft Dünne Schichten e. V.
European Society of Thin Films
Gostritzer Straße 63, 01217 Dresden, Germany
Tel.: +49 351 8718370
Fax: +49 351 8718431
www.efds.org
KOMPETENZ FÜR FORSCHUNG UNDWIRTSCHAFT
©m
onsi
tj -
sto
ck.a
dob
e.co
m
Program CommitteePREFACEPROGRAM Wednesday, December 2, 2020Jonas SundqvistDmitry SuyatinNils BoysenHenrik PedersenNicolas BlascoChristoph HossbachGanesh SundaramKalle NiiranenDe Jonghe VeroniquePROGRAM Thursday, December 3, 2020Martin Knaut Linda JäckelTobias TörndahlRémy GassilloudJoël MattheySascha BönhardtMaksym PlakhotnyukJacques KoolsBernd HintzePaul PlateAileen O'MahonyIndustrial ExhibitionList of AttendeesEFDS-Membership Industrial Collective ResearchParticipate in our 4 Technical CommitteesNext EFDS-Events