25
2002 EKC Technology, Inc. E N A B L I N G T E C H N O L O G Y C O M M I T T E D T O Q U A L I T Y Tuning Hydroxylamine Slurries for Copper Barrier Polishing for (SiLK TM ) Low-k Integration Lily Yao, Bob Small, KZ Kadowaki, EKC Technology, Inc. Ketan Itchaporia and Micheal Simmons Dow Chemical, Inc. October 10, 2002 EKC == CMP It doesn’t get any planar than that. sm 7th Annual International CMP Conference

Tuning Hydroxylamine Slurries for Copper Barrier Polishing ...cmpconsulting.org/wa_files/tuning_20hydroxylamine_20slurries_2.pdf · Tuning Hydroxylamine Slurries for Copper Barrier

  • Upload
    others

  • View
    16

  • Download
    0

Embed Size (px)

Citation preview

2002 EKC Technology, Inc.

E N A B L I N G T E C H N O L O G Y C O M M I T T E D T O Q U A L I T Y

Tuning Hydroxylamine Slurries for Copper Barrier Polishing for (SiLKTM) Low-k Integration

Lily Yao, Bob Small, KZ Kadowaki,

EKC Technology, Inc.

Ketan Itchaporia and Micheal Simmons

Dow Chemical, Inc.

October 10, 2002

EKC == CMPIt doesn’t get any planar than that.sm

7th Annual International CMP Conference

2002 EKC Technology, Inc. CMPUG OCT. 2002

AGENDA

♦ Introduction * Current Cu/SiLK integration

* Cu/SiLK CMP process

* Nitrogen-based slurry

♦ Experimental Set-up * Polisher, pads, measurement equipment, wafers and slurries

♦ Results *Cu-II barrier slurry (hydroxylamine/silica-based) applications with a. High Selectivity

b. Low/Non Selectivity

on Cu/SiLK blanket and patterned wafers

* Copper and SiLK surface rms, FTIR, electrical and adhesion data after CMP

♦ Conclusion

2002 EKC Technology, Inc. CMPUG OCT. 2002

Copper/SiLK Integration and CMP Process

CuTaNSiO2SiC

Low-KSiCSiO2

Si

Step1Step 2

Step 1: Polishing off Cu film and stop at barrier layer

Step 2: Polishing off barrier (Ta/TaN) film and stop at ILD layer

2002 EKC Technology, Inc. CMPUG OCT. 2002

General Technical Requirements for Cu CMP

Roadmap for advanced Cu developments

0.18 µm 0.15 µm 0.13 µm (2001) 0.1 µm (2003) 0.07 µm

Dishing 500 Å 350 Å 250 Å 180 Å 120 Å

(100 um pad)Erosion 700 Å 500 Å 350 Å 200 Å 150 Å (90% density)

Dielectric loss 300 Å 250 Å 200 Å 150 Å 100 Å WIWNU (1s) 4% <3% <2% <2% <2%

WTWNU (1s) 4% <3% <2.5% <2% <1%

LPD defects <15 >0.2 µm <20 >0.16 µm <30 >0.12 µm <50 >0.08 µm <100 >0.05 µm

RR Step 1 >5000 Å/min@5PSI >5000 Å/min@2PSI >5000 Å/min@<0.5PSIRR Step 2 >500 Å/min@5PSI >500 Å/min@2PSI >500 Å/min@<0.5PSI

2002 EKC Technology, Inc. CMPUG OCT. 2002

ILD Material Requirement in IC

• Using a low-k dielectric film• Exhibit adequate material properties - thermal

- electrical- mechanical

• Be able to work with the other materials of the interconnectstructure

• Be compatible with to IC process of cleaning, etching, CMP,and thermal treatment

• Be available in high purity form and low cost

• Be able to operate reliably over the life of the product under thespecified device operating conditions.

LY-HBstudyILD

2002 EKC Technology, Inc. CMPUG OCT. 2002

SiLK Dielectric Properties

SiLK V7 V8 V9K 2.65 2.35 2.20 2.10

Davg

(nm) NA 25 16 < 9Modulus

(GPa @ 1 um) 3.6 2.8 2.7 2.8Hardness

(GPa @ 1 um) 0.27 0.17 0.16 0.15

CTE 62 62 62 ~ 62Toughness /

Adhesion(Mpa-m0.5)

> 0.35 > 0.35 > 0.35 > 0.35

ProcessTemperature

(oC)400 – 450 430 430 400

Chemistry SiLK SiLK SiLK SiLK

2002 EKC Technology, Inc. CMPUG OCT. 2002

Hydroxylamine Reduction Potentials & Reaction Paths

Reference: Dr. Srini Raghavan “Copper Removal in Hydroxylamine Based Slurries” 7th International Symposium

REDUCTIONOXIDATION

NH2OH

NH3OH+

N2H4

N2H5+

NH3

NH4+

N2N2O

NO2−

HNO 3

NO3−

BASIC

ACIDIC1.41 1.275

0.10.73

-3.04

-1.87

0.94

1.77

0.15

1.297

0.94

0.01

2002 EKC Technology, Inc. CMPUG OCT. 2002

EKC Current Hydroxylamine-Based Slurriesfor Copper CMP

Oxidizer Abrasive Application

Cu Phase-I Hydroxylamine Based

Al2O3 Removal Cu layer

Hydroxylamine Based

Colloidal Silica (Supply A)

Removal TaN with a high selectivity

Cu Phase-II Hydroxylamine Based

Colloidal Silica (Supply A & B)

Removal Cu, TaN, TEOS at a low selectivity

Hydroxylamine Based Abrasive free

Removal TaN with a high selectivity

Single Phase Hydroxylamine Based

Al2O3 Removal Cu, barrier and stop at ILD layer

LY-HB-EKCproducts

2002 EKC Technology, Inc. CMPUG OCT. 2002

Experimental Set-Up

* Polisher: IPEC 472;

*Polishing Pads: Rodel IC1000 k-grv/Suba IV and Politex polishing pads

* Measurement Equipment: Cu and TaN Thickness: CDE ResMap 176

TEOS Thickness: KLA-Tencor 650

SiLK Thickness: KLA-Tencor 650 & Gaertner Ellipsometry

SiLK surface chemical changer: BioRad FT-IR spectrometer Cu Surface roughness: Digital Instrument AFP200

Cu Dishing & Erosion: KLA-Tencor P2 & DI AFP

*Slurries: EKC Cu Phase-I with Alumina and oxidizer,

EKC Cu Phase-II with Silica Abrasives and oxidizers (A to G)

* Blanket Wafers: 200mm EP copper, TaN, TEOS and SiLK: p-SiLK (includingV9)SiLK-I (regular) SiLK*I (Ensemble)

* Cu Patterned Wafer: 200mm Sematech 931, 831, 854 PatternSematech 800 pattern - CMP2 (Cu/SiLK)

2002 EKC Technology, Inc. CMPUG OCT. 2002

SiLK Blanket Wafer Types

Regular SiLK

Ensemble ES film

Regular SiLK(SiLK*I)

Porous SiLK (V9-LC & V9-HC) Regular SiLK(SiLK-I)

SiLK+Ensemble Integrated Stack

2002 EKC Technology, Inc. CMPUG OCT. 2002

Silica Based Slurry for High Barrier Selectivity

Process:

IPEC 472 Polisher / Politex Embossed Pad

3 psi polishing pressure, 50 rpm platen speed

70 rpm carrier speed, 200 ml/min slurry flow

with no conditioning in between wafers

0

300

600

900

1200

1500

Shee

t F

ilm M

RR

(A

/min

)

A B C D

C u-II Nitrogen-Sil ica Based Slurry Formulation

Mean Removal Rate vs. C u-II Fomulation

Cu

T aN

T EOS

SiLK

Results:• Selectivity of Cu:TaN:SiLK = 1: 3-11: <1

• TaN removal rate is related to Cu-II oxidizer concentration as expected.

• Reducing either the oxidizer or abrasive will reduce SiLK removal rate.

• Post CMP Rms of Cu and SiLK was 5-10 Å.

Slurry Formulation Post CMP Rms (A)

Slurry OxidizerSilica Solids

Abrasive Type Cu SiLK

A 50% 5% 7 5

B 20% 5% Abra-I 10 7

C 50% 1% 9 6

D 50% 5% Abra-II 7 5

Tunable Selectivity

2002 EKC Technology, Inc. CMPUG OCT. 2002

Silica Based Slurry for High Selectivity Application

Process Set up: IPEC 472 Polisher / Politex Embossed Pad

Process: 2 psi polishing pressure, 70 rpm platen speed,75 rpm carrier speed,

200 ml/min slurry flow.

Target: Lower SiLK Film MRR

* Original high selectivity slurry (Type A, pH 4) shows a higher porous SiLK MRR

* Slurry Type E (pH 8) reduced porous SiLK MRR efficiently.

0

100

200

300

400

500

600

Shee

t F

ilm M

RR

, A/m

in

Slurry Type A

High Selectivity Slurry for SiLK Film

Cu

TaN

TEOS

Porous-LC

Porous-HC

SiLK-I

SiLK*-I

SiCNLY-0502

0

100

200

300

400

500

600

Shee

t F

ilm M

RR

, A/m

in

Slurry Type E

High Selectivity Slurry for SiLK Film

Cu

TaN

TEOS

Porous-LC

Porous-HC

SiLK-I

SiLK*-I

SiCNLY-0502

2002 EKC Technology, Inc. CMPUG OCT. 2002

High Selectivity: Blanket Film Application (Politex pad with Slurry C)

Sheet Film Removal Rate vs. Barrier Oxidizer

0

200

400

600

800

1000

1200

1400

25 50 75

Cu-II Ta/TaN Barrier Oxidizer Solution, %

Film

Rem

oval

Rat

e, A

/min

TaN

Cu

oxLY-0902

Results:

- TaN and TEOS removal rate was no change.

- Cu removal rate reduced while increasing oxidizer solution %

Process: 2psi/90rpmts/95rpmcs/200sf

2002 EKC Technology, Inc. CMPUG OCT. 2002

High Selectivity: Cu Patterned Wafer (854) Application (Politex pad with Slurry C)

- First step Cu patterned wafer was polished on OEM tool

- Barrier was polished on EKC IPEC 472

0

200

400

600

800

1000

Cu

100/

100

um D

ishi

ng, A

C M E

Die Location

Cu Patterned Wafer (854) Performance with Slurry C

Cu-I

Cu-IILY-092402#1-#7

0

200

400

600

800

1000

Cu

90%

Den

sity

Oxi

de E

rosi

on, A

C M E

Die Location

Cu Patterned Wafer (854) Performance with Slurry C

Cu-I

Cu-IILY-092402#1-#7

Average

Dishing (A) Erosion (A)

Cu-I Cu-II Cu-I Cu-II

764 465 360 467

2002 EKC Technology, Inc. CMPUG OCT. 2002

High Selectivity: Cu/SiLK Patterned Wafer (800) Application(Politex pad with Slurry C)

- Cu Film: EKC Cu Phase-I Slurry on EKC 472

- Barrier Film (TaN): Slurry C

0

500

1000

1500

2000

2500

Cu

100

Pad

Dis

hing

, A

C M E

Die Location

Cu/SiLK Patterned Wafer CMP Performance with Slurry C

Cu-I

Cu-IILY-092402#1-#7

0

500

1000

1500

2000

2500

Cu

90%

D (

9/1)

Oxi

de E

rosi

on (

A)

C M E

Die Location

Cu/SiLK(800) Patterned Wafer Erosion with Slurry C

Cu-I

Cu-IILY-092402

Average

Dishing (A) Erosion (A)

Cu-I Cu-II Cu-I Cu-II

1959 1657 1283 1013

2002 EKC Technology, Inc. CMPUG OCT. 2002

Low Selectivity Barrier Slurry for Cu CMP: Effect of Concentration and pH

- Hydroxylamine oxidizer

- Colloidal silica abrasive

-Tuning chemical components

- Adjusting pH

Cu-II Low-Selectivity Slurry Development

0

100

200

300

400

500

600

3025 pH4.3

3035 pH4.4

3050 pH4.6

3070 pH4.7

Slurry System

Shee

t F

ilm M

RR

, A/m

in

Cu

TaN

Ox

LYC/PCuII0901

Cu-II Low-Selectivity Slurry Development

0

200

400

600

800

1000

1200

pH7 pH9 pH10

Slurry System

Shee

t F

ilm M

RR

, A/m

in Cu

TaN

Ox

LYC&PCuII1002

2002 EKC Technology, Inc. CMPUG OCT. 2002

Silica Based Slurry for Low Barrier Selectivity

Results:

Low Selectivity slurry (Type C and D) showed similar TaN MRR to Cu and Oxide.

They also showed a good control for SiLK porous film as well as regular SiLK film.

SiCN MRR is similar to oxide 0

100

200

300

400

500

600

Shee

t F

ilm R

emov

al R

ate,

A/m

in

Slurry Type F Slurry Type G

Slurry System

Non Selectivity Slurry for SiLK Film

Cu

TaN

TEOS

Porous-LC

Porous-HC

SiLK-I

SiLK*-I

SiCN

Process Set up: IPEC 472 Polisher / Politex Embossed Pad

Process: 2 psi polishing pressure, 70 rpm platen speed

75 rpm carrier speed, 200 ml/min slurry flow

2002 EKC Technology, Inc. CMPUG OCT. 2002

Low Selectivity: Cu Patterned Wafer (931) Application (Different Pads with Slurry F)

IC1000 K-grv Pad

Politex Pad

Process: 2psi/90rpmts/95rpmcs/175sf

- First step Cu patterned polished on OEM tool

- Barrier was polished on EKC IPEC 472

Cu Ptn (931 )Performance On IC 1000k-grv Pad

-200

100

400

700

1000

0s 30s 60s 90s

Total Polishing Time, Sec

Cu

Dis

hing

& O

xide

Ero

sion

, A

Avg Dishing

Avg Erosion

lY-0102

Cu Ptn (931) Performance on Politex Pad

-500

-250

0

250

500

750

1000

0 20 40

Total Polishing Time, Sec

Cu

Dis

hing

and

Oxi

de E

rosi

on

Avg Dishing

Avg Erosion

LY-C&PRS0202CuII

2002 EKC Technology, Inc. CMPUG OCT. 2002

Low Selectivity: Cu Patterned Wafer (831&800) Application (Politex Pads with Slurry F)

Cu Ptn (831) Performance

0

200

400

600

800

1000

0 40

Total Polishing Time, Sec

Cu

Dis

hing

and

Oxi

de E

rosi

on, A

Avg Dishing

Avg Erosion

LY-1002

Cu/SiLK Ptn (800) Performance

0

200

400

600

800

1000

0 40

Total Polishing Time, Sec

Cu

Dis

hing

and

Oxi

de E

rosi

on, A

Avg Dishing

Avg Erosion

LY-1002a

Sematech Cu 831 Patterned Wafer

Sematech Cu/SiLK 800 Patterned Wafer

- First step Cu Film: EKC Cu Phase-I Slurry on 472

- Barrier Film (TaN): Modified Slurry F

2002 EKC Technology, Inc. CMPUG OCT. 2002

SiLK*I (Ensemble) Film MRR vs. Polishing Pressure

SiLK*I (ES) Film MRR vs. Polishing Pressure

0

1000

2000

3000

4000

1 2 3 4 5

Down Force, PSI

SiL

K*I

(E

S) F

ilm M

RR

, A/m

in

Polishing Removal SiLK*I (ES)Pressure Rate Rem NU(PSI) (A/min) %

1 15 n/a2 40 n/a3 1744 1367 15.85 3576 6.5

Other ParametersIPEC472 polisherPolitex reg pad90 rpm pp95 rpm cs200 ml/min sf

Slurry Type F

No delamination of the films seen at any polishing pressure

2002 EKC Technology, Inc. CMPUG OCT. 2002

FIB cross section shows some topography across the 100 um Cu lines after Politex pad

Cross Section of 100-µm Cu Lines after Ta Removal

2002 EKC Technology, Inc. CMPUG OCT. 2002

Sheet Film Properties after CMP

Cu pre rms > 30 A, SiLK pre rms = 6 A

SiLK toughness adhesion should > 0.35 Mpa-m0.5

Slurry Surface rms (A)

Adhesion

(Mpa-m0.5) FTIRCu SiLK SiLK SiLK P-SiLK SiCN

A 9.5 6.5 0.45+0.02 Pass PassB PassC 7.5 7.0 PassD 7.0 5.8 PassE 0.43+0.03 Pass Pass PassF 8.0 6.5 0.42+0.02 Pass PassG 0.45+0.03 Pass Pass Pass

LY-C&PLowkSiLKproperties2002

2002 EKC Technology, Inc. CMPUG OCT. 2002

Post CMP Electrical Data for P-SiLK (v9)

- Breakdown voltage and leakage current looks good for slurry E- There was a change in low-k value for slurry A- Leakage current and breakdown voltage for slurry A are different than slurry E.

Dielectric Data Slurry A Slurry EAverage k value 2.51 2.37

Electronic Date (avg)

Breakdown voltage@ J=1e-5 A/cm2 3.27 3.63Leakage current at 0.5MV/cm = 3.35E-09 1.09E-09Leakage current at 1.0MV/cm = 8.54E-09 2.28E-09

LY-C&PLowkSiLKproperties2002

2002 EKC Technology, Inc. CMPUG OCT. 2002

Nitrogen-Based Slurry Development for Copper/Low-k (SiLKTM) Integration

Conclusion:* Hydroxylamine-based slurry is effective for the Cu/SiLK CMP processing.

* With silica abrasive, the slurry can be designed for either high selectivity or low selectivity of Cu/TaN/SiLK integration.

* No delaminating of SiLK films.

* SiLK surface rms and chemical composition were the same as pre-CMP.

* Film removal uniformity and wafer profile are within the spec.

* The new nitrogen-based slurries have the potential to reduce the COO.

2002 EKC Technology, Inc. CMPUG OCT. 2002

Acknowledgements

We would like to thank:

Dow Chemical

Don Frye

EKC TechnologyDon Frey

Philippe Chelle