26
ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on www.i-micronews.com THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE PLATINUM PARTNERS: Everywhereyoulook WHAT ABOUT... 4 Insiders’ guide to More than Moore technologies at SEMICON West 2013 “The SEMICON shows are where we see leading semiconductor executives with multi-million dollar budgets walking the oor,” says Jean-Christophe Eloy, Yole Développement. EVENT REVIEW: TRANSDUCERS 2013 11 Market and business vision at Transducers 2013 ADVANCED PACKAGAGING 21 Invensas Bond Via Array (BVA) PoP: A closer look © Frédéric Prochasson - Fotolia.com

“The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

  • Upload
    lebao

  • View
    212

  • Download
    0

Embed Size (px)

Citation preview

Page 1: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

ISSUEN°148

20/06/2013

T H E D I S R U P T I V E S E M I C O N D U C T O R T E C H N O L O G I E S M A G A Z I N E

Free registration on www.i-micronews.com

T H E D I S R U P T I V E S E M I C O N D U C T O R T E C H N O L O G I E S M A G A Z I N E

P L A T I N U M P A R T N E R S :

Everywhereyoulook™

WHAT ABOUT... 4

Insiders’ guide to More than Moore technologies at SEMICON West 2013

“The SEMICON shows are where we see leading semiconductor

executives with multi-million dollar budgets walking the fl oor,” says Jean-Christophe Eloy,

Yole Développement.

EVENT REVIEW: TRANSDUCERS 2013 11

Market and business vision at Transducers 2013

ADVANCED PACKAGAGING 21

Invensas Bond Via Array (BVA) PoP: A closer look

© F

rédé

ric

Proc

hass

on -

Fot

olia

.com

Page 2: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

2 | ISSUE N°148 | 20/06/2013

G O L D P A R T N E R S

About Yole DéveloppementFounded in 1998, Yole Développement has grown to become a group of companies providing marketing, technology and strategy consulting, media in addition to corporate fi nance services.With a strong focus on emerging applications using silicon and/or micro manufacturing, Yole Développement group has expanded to include more than 50 associates worldwide covering MEMS, Compound Semiconductors, LED, Image Sensors, Optoelectronics, Microfluidics & Medical, Photovoltaics, Advanced Packaging, Nanomaterials and Power Electronics. The group supports industrial companies, investors and R&D organizations worldwide to help them understand markets and follow technology trends to develop their business.

Consulting• Market data, market research & marketing analysis• Technology analysis• Reverse engineering & costing services• Strategy consulting• Patent analysisMore information on www.yole.fr

Reports• Collection of technology & market reports• Manufacturing cost simulation tools• Component reverse engineering & Costing

analysis• Patent investigation

Financial services• Mergers & Acquisitions• Due diligence & valuation• Fundraising• Coaching of emerging companies• IP portfolio management, valuation & optimizationMore information on www.yolefi nance.com

Media• Online disruptive technologies website: www.i-micronews.com• Editorial webcasts program• Six magazines: Micronews - MEMS Trends – 3D

Packaging – iLED – Power Dev’ - New in 2013: Image Sensors Industry

• Communication & Webcasts services

ContactsFor more information about :• Consulting Services : Christophe Fitamant

(fi [email protected])• Financial Services: Géraldine Andrieux-Gustin

([email protected])• Reports: David Jourdan ([email protected])• Media & Communication : Sandrine Leroy

([email protected])

CONTENT

MEMS 7

MEDTECH 12

COMPOUND SEMICONDUCTORS 14

LED 15

IMAGING 16

OPTOELECTRONICS 18

PHOTOVOLTAICS 19

NANOTECHNOLOGY 19

ADVANCED PACKAGING 21

POWER ELECTRONICS 25

Editorial StaffBoard Members: Jean-Christophe Eloy - Media Activity, Editor in chief: Dr Eric Mounier - Editors: Alexandre Avron, Frédéric Breussin, Paul Danini, Wenbin Ding, Christophe Fitamant, Dr. Éric Mounier, Pars Mukish, Lau-rent Robin, Milan Rosina, Benjamin Roussel, Dr. Philippe Roussel - Media & Communication Manager: Sandrine Leroy - Media & Communication Coordinators: Clotilde Fabre, Camille Favre - Layout: atelier JBBOX - Production: Kzen

CONSULTING

SEPTEMBER 10-12, 2013Seattle, WA, USA

PLATINUM SPONSORS

“MEMS in Motion is a different way of doing business…you’re going to see a lot more of these in the future. I’ll attend again, and I’d recommend it to other

John Brashear, VectorNav Technologies MEMS in Motion 2012 Participant “I love MEMS in Motion. I will absolutely dedicate the time to make sure I attend

Kevin Shaw, Sensor Platforms MEMS in Motion 2012 Participant “I am extremely pleased with MEMS in Motion. It was great and I am looking

Vincent Fortin, Teledyne DALSA MEMS in Motion 2012 Participant “Some conferences you have just speakers and listeners, presentation afterpresentation. Forums like MEMS in Motion force interaction between peoplewhich tend to be more productive meetings. I would recommend this event to

Tom Flynn, Coventor MEMS in Motion 2012 Participant

Industry leaders will gather for the third edition of the two-day MEMS in Motion event. Qualified attendees will enjoy exclusive plenary sessions; hours of one-on-one meeting opportunities, plus a variety of social activities.

Mark your calendar, and help shape the future of inertial devices.

SAVE THE DATE

For more information, please contact S.Leroy ([email protected]) or visit www.memsinmotion.com

EDITORIAL

More than Moore technologies highlighted at SEMICON This Micronews issue offers an insiders’ guide to some programs on disruptive semiconductor technologies we think will be of interest to our readers at 2013 SEMI

trade shows. At SEMICON West for example, Yole Développement notes especially the opportunity to hear the latest from top technologists on silicon photonics and 3D IC packaging. Yole’s analysts will give their latest views on the future of MEMS in a maturing market, the outlook for some potentially disruptive LED technologies, and the

developing potential for printed/flexible electronics. We look forward as well to connecting with our network across all the sectors we cover.

SEMI regularly invites Yole to speak at its programs around the world. “Yole provides the most comprehensive, technically-thorough coverage in the industry of many technologies such as LEDs, MEMS, wide bandgap semiconductors, and printed and large area electronics,” says Tom Morrow, EVP and Chief Marketing Offi cer of SEMI.

Yole sees SEMI as an important partner, as SEMI’s global reach and respected technology

programs provide us excellent visibility, as well as opportunities to keep up with the latest innovations and to make key industry connections across the fi elds we cover. The SEMICON shows are where we see leading semiconductor executives with multi-million dollar budgets walking the fl oor.

As always, this issue also offers curated highl ights of news across disrupt ive semiconductor areas.

Jean-Christophe Eloy,CEO, president and Founder, Yole Développement

Page 3: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

20/06/2013 | ISSUE N°148 | 3

WHAT ABOUT…

In these programs we invite industry thought leaders from around the world to d i s cuss key i s sues in the majo r

semiconductor technology markets outside of mainstream ICs, in concentrated half day sessions that aim to provide at a quick update on what’s happening at the leading edge of commercial technology in these growth areas. This year’s other topics include solutions to the new challenges of the maturing MEMS market, the status of some key potentially disruptive technologies for LEDs, and potent ia l approaches fo r integrating silicon die with printed/flexible electronics for applications that require silicon’s improved performance but the low cost or fl exible form factor of printed/fl exible electronics. SEMICON West is July 9-11 in San Francisco.

Silicon photonics edge into productionNow that the insatiable demand for bandwidth is driving data centers to look for ways to move high speed optical transmission closer to the chip, we’ve invited the leading suppliers to talk about their solutions for integrating photonics with silicon, at the die, the module or the circuit board level at the TechXpot July 10. Yole Développement projects this nascent market will triple in the next five years, but could explode after 2020 as the technology matures.

Yurii Vlasov, manager of IBM’s sil icon integrated nanophotonics project, will discuss IBM’s die-level monolithic integration, which makes the optical modulator, photo detector and passive photonics components all on a 90nm CMOS die, which is now in qualifi cation. “We looked at 3D integration too, but decided monolithic integration was the most cost effective in the near term,” notes Yurii Vlasov, noting that the die-level approach simplifi es packaging and testing. This same transceiver chip with optical and electrical I/Os can be packaged either for a pluggable module at the server, or for mounting on a circuit board, or for putting in a socket with a processor, as the maturity of the system evolves.

Senior technologists from all the current suppliers of silicon photonic products, Cisco Systems, Kotura and Luxtera, will talk about their approaches, particularly module-level integration that takes advantage of recent developments in 3D packaging technology to stack photonics on the interposer with electronics on the chip. Photonic Controls’ Roe Hemenway wi l l d i scuss the MIT Microphotonics Center ’s roadmap for integration of optical connects at the board-level. “Board level optical interconnect is coming sooner than you think,” he says.

What comes next for the maturing MEMS sector?The MEMS market can expect steady 10%-12% annual growth that will double the market over the next six years, according to Yole Développement’s projections, and bring

continuing change towards a more mature, high volume industry. That suggests that the value will move from just making the best unique MEMS structure towards consistent volume manufacturing, software, integration, c u s t o m e r s u p p o r t , a n d e n a b l i n g applications development by others. A few years ago, who would have expected to see STMicroelectronics opening its core inertial sensor manufacturing process to other users, Texas Instruments pushing to enable others to fi nd new uses for its core DLP technology, and GLOBALFOUNDRIES looking to build a “virtual IDM” ecosystem. We’ve invited these and other speaker s that we thought represented interesting approaches to some of the major issues for the Tuesday MEMS TechXpot.

Yole Développement founder and CEO Jean Christophe Eloy will talk about his view of the future shape of the MEMS industry. Rakesh Kumar, s en io r d i r e c to r o f MEMS a t GLOBALFOUNDRIES, wi l l d iscuss the developing role of the big CMOS foundries, including the challenges of developing standardized process capabilities and the potential for developing partnerships across the value value chain from R&D organizations to OSATs to be able to provide fabless companies with a full solution that can compete with the IDMs. Iain Rutherford, product marketing manager for MEMS at X-FAB, considers the status and role of monolithic integration technology of MEMS with CMOS. Gina Park, product line manager, Texas Instruments, looks at the issues of creating an open system to ease development by a wider range of potential users to grow the market for new applications, with the example of TI’s core DLP product. John Ivo Stivoric, CTO, BodyMedia, discusses the

potential for much more sophisticated kinds of sensor fusion, combining motion data with biological measures for more intelligent health monitoring. And Jalinous Esfandyari, for STMicroelectronics and CMP, explains ST’s interesting offering of its core inertial sensor THELMA technology as an open process through CMP.

SEMI’s packaging committee of industry executives presents another session on next g e n e r a t i o n M E M S wh o s e l i n e up o f international speakers features Fairchild Semiconductor’s Janusz Bryzek, Robert Bosch’s Jiri Marek, VTT Technical Research’s Aarne Oja, Dai Nippon Printing’s Satoru Kuramochi, and EVGroup’s Thorsten Matthias discussing coming requirements for MEMS packaging.

New technologies that could signifi cantly impact LED manufacturingFor this year’s LED TechXpot program, we’re looking specifically at the status of some emerging technologies that could potentially be really disruptive and push the solid-state lighting industry forward. “There’s no answer yet about GaN on silicon, but there will probably be one within the next 12-18 months,” suggests Eric Virey, senior analyst for LEDs at Yole Développement, who will give his view of the potential for some of these key emerging technologies. He suggests much will depend on the success of Bridgelux and Toshiba in producing GaN-on-Si product that proves extremely competitive in cost and performance. If they do, many of the other big players, who all have research programs, will move to production as well. Most likely scenario, however, is that GaN on Si will make sense for those companies that have silicon experience and depreciated fabs, but not for

Top 30 MEMS Players - 2012 Sales (Source: Status of the MEMS Industry report, Yole Développement, to be released Q2, 2013)

1 0

00

842

825

645

440

386

356

279

276

268

266

249

247

187

187

179

178

161

152

130

93

91

83

81

81

75

65

60

60

54

0

200

400

600

800

1 000

1 200

STM

ICRO

ELEC

TRO

NIC

SRO

BER

T BO

SCH

TEXAS I

NSTR

UM

ENTS

H

EWLE

TT P

ACKARD

KNO

WLE

SEL

ECTR

ONIC

SPA

NASO

NIC

DEN

SO

CANO

NAVAG

O T

ECH

NO

LOG

IES

FREE

SCALE

SEM

ICO

ND

UCTO

RANALO

G D

EVIC

ES

AKM

SEI

KO

EPS

ON

INFI

NEO

N

TECH

NO

LOG

IES

INVEN

SEN

SE

MURAT

A

SEN

SAT

AH

ONEY

WEL

LG

E SEN

SIN

G

TRIQ

UIN

T

LEXM

ARK

UTC

Aer

ospa

ce

SO

NY

FLIR

SYS

TEM

SM

EASUREM

ENT

SPE

CIA

LTIE

S I

NC.

KIO

NIX

AAC

OM

RO

N

ULI

S

MEM

SIC

US

$ M

MEMS & Sensors

© A

pril

2013

LED

© Ju

ne 2

012

LED front end equipment market revenue(MOCVD, lithography, dry etching, PECVD, PVD)

0

500

1 000

1 500

2 000

2 500

2009 2010 2011 2012 2013 2014 2015 2016 2017

In M

$

(Source : LED Front-End Manufacturing report, Yole Développement, June 2012)

Insiders’ guide to More than Moore technologies at SEMICON West 2013SEMI adds a new program on silicon photonics this year to its SEMICON West TechXpot series on the next opportunities and challenges in More than Moore markets.

Page 4: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

4 | ISSUE N°148 | 20/06/2013

WHAT ABOUT…

t hose w i t h mor e inve s ted in o the r technologies and targeting applications less suited to the cost and performance of the silicon product.

Azzurro Semiconductor’s Alexander Loesing will present that company’s latest results with its 150-200mm silicon template wafers with the buffer layers grown, ready for LED makers to grow their own light-emitting epi structures on top. He argues that LED devices made on silicon can be of equal quality and lower cost, thanks to silicon’s better thermal properties and the templates’ control of bowing during growth, as well as the higher yields of the silicon process tools. But the real advantage would come from savings on capital investment, from having a depreciated fab, or if a foundry would decide to offer post-epi LED processing.

Bridgelux’s Max Hong and Philips Lumileds’ Wouter Soer will each talk about the potential from re-thinking LED packaging. KLA-Tencor’s Steven Chen discusses progress towards identifying the defects that matter, and Seren Photonics’ Carl Grif f iths will introduce the UK startup’s nano surface structuring technology that could potentially increase boost efficiency. And Strategies Unlimited’s Ella Shum will ask them all hard questions.

Making useful products from printed/fl exible electronicsPrinted, organic or fl exible technologies have considerable potential for new kinds of electronics, from OLED lighting and displays, to low cost smart packaging and RFID systems, to fl exible displays and biomedical sensors. But real products and real profi ts have so far been very limited, as few players have found the right mix of performance and cos t t o d r ive marke t demand. Yo le Développement projects the market for printed and fl exible electronics will remain a modest ~$176 million this year, but will see 27% CAGR to ~$950 million by 2020, mostly from larger OLED displays moving include to some printed layers. This market value inc ludes f u ture e le c t r on i c s dev i ces manufactured on fl exible substrate with some of the steps done using printing processes.

One promising way to improve performance while keeping the low cost and fl exibility of printed/fl exible electronics to enable some applications could be to fi nd ways to integrate small or thin silicon die for some critical features like logic or RF into mostly printed or fl exible systems. So this year SEMI and FlexTech Alliance have invited a selection of technologists working at the intersection of the semiconductor and the fl exible electronics sectors to discuss the issues of potentially integrating silicon die with printed/fl exible electronics processes at the TechXpot on Thursday.

One potential approach could be an open platform for making fl exible silicon die. CEO Doug Hack ler wi l l d i scuss Amer ican Semiconductor’s technology for drastically thinning conventional fabricated silicon wafers, and coating them with a combination of polymers, to make silicon-on-polymer die

for attaching with printed wiring or bumps to fl exible substrates. He reports interest for things like large distributed sensing systems in structural composites for aircraft, or drivers for fl exible displays. The company has qualifi ed TowerJazz’s 130nm process to make SOI CMOS for its initial flexible standard microcontroller, and has worked with the foundry to establish design rules to make an open platform for other designers to create their own fl exible chips.

Another solution particularly suitable for attaching sensors to the body is the spring-like stretchy wiring developed by MC10 for making fl exible arrays or bandaid-like stickers of small, thin but r igid sil icon die for everything from wearable heart rate and fitness monitors to implantable electronic sensor membranes. VP of R&D Kevin Dowling talks about the company’s technology and its fi rst commercial application, a Reebok soft skullcap that uses motion sensors to measure impacts to the head.

Pr inted electronics supplier Thin Film Elec tronics’ Chandrasekhar Dur isety, meanwhile, will update on current state of printed/flexible technology at one of the sector’s leaders, and give a reality check of the costs and integration issues hybrid electronics will have to meet.

Speakers from Jabil, Sandia National Lab, and Terapac will also talk about low temperature die attach technology, thinning commercially available die for prototyping fl ex systems and batch assembly technology to handle tiny die.

In other printed/fl exible content at West, Yole Développement will give its latest market forecast for this sector in the materials program on Tuesday. And FlexTech Alliance offers a full-day workshop on Wednesday featuring most of the major players in the

transparent conductor market, who’ll discuss the current state of materials performance, manufacturing cost, and technical maturity of the various options in this fast growing market for printed electronics technology.

See the SEMICON West Extreme Electronics TechXpot schedule for complete details on all these programs: prod.semiconwest.org/SessionsEvents/TechXPOTsPaula Doe for SEMI

Flexible Electronics Application enabling /

Function enabling

Flexible PV

Electronic Paper

OLED general Lighting

Sensors

2020+ 2013

Flexible Electronics Application enabling /

Function enabling

Flexible PV

Electronic Paper

The Printed/non-Printed Frontier

Non printed

Printed

Small OLED Displays

Large OLED

Displays

Conformable OLED Lighting

Systems on foil

Applications landscape 2013-2020+(Source: Flexible Applications Based on Printed Electronics Technologies 2013 report, May 2013, Yole Développement)

Printed Electronics

© M

ay 2

013

Yole Développement’s presentations at SEMICON West 2013 :

• Adding value in next generation MEMS sessionTuesday, July 9 – From 10:30 AM to 12:35 PMWill MEMS market turn into sensor business?Jean-Christophe Eloy, President and CEO, Yole Développement

• Plastic electronics - Materials growth opportunities at both ends of the spectrum session

Tuesday, July 9 – From 1:30 PM to 3:30 PMPrinted and fl exible electronics market expectations for 2013-2020Christophe Fitamant, Sales and Marketing Director, Yole Développement

• Looking ahead to the next generation of HB LED manufacturing technology sessionWednesday, July 10 – From 1:30 PM to 3:40 PMLED technologies: where is there still most room for breakthrough innovations?Eric Virey, Ph.D., Senior Market & Technology Analyst, LEDs, Yole Développement

www.plastic-electronics.org

Co-located with:

The Power of [x]

The Power of [Europe]

Connect to the trends, technologies and people driving the European Semiconductor Industry forward.

EUVLED/SSL450mm

More than Moore

3D TSVMEMS

Fab AutomationEquipment

www.semiconeuropa.org

8–10 October Messe Dresden, Germany

SEMICON Europa is the place to see the leading companies, technologies, and people driving the future of micro- and nanoelectronics design and manufacturing.

SEMICON Europa exhibitors are the suppliers to and partners of Europe’s leading microelectronics companies. From silicon to system − and everything in between and beyond − SEMICON

Europa showcases the biggest and brightest names in microelectronics manufacturing.

Register now online:

Use promotion code and save 25 Euro!Free access to SEMICON Europa and PE2013 Exhibition.

PL5198F89

Page 5: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

20/06/2013 | ISSUE N°148 | 5

WHAT ABOUT…

The sensor application is now driving the MEMS

market !

Status of the MEMS Industry

Discover the NEW report onwww.i-Micronews.com/reports

To be

relea

sed

soon

!

Inertial Measurement Units (IMUs) are d ev i c e s t h a t m e a s u r e ve l o c i t y, orientation, and gravitational forces,

using a combination of accelerometers, gyroscopes and magnetometers. ACAMP has developed the Smart Cube, a 10 axis IMU device that incorporates IMU functionality with pressure and temperature sensing and GPS positioning to provide a complete navigational solution.

The development of the Smart Cube is a multi-step process. ACAMP engineering utilizes a stage gate process for new product d eve l o p m e n t w i t h m i l e s t o n e s an d deliverables. Consideration is given to specifi cations, component selection, design layout and rules, modeling and simulation, a s s e m b l y t e c h n i q u e s , t e s t a n d characterization both inline and final test. This project took 3 months from concept to prototype.

First step: specifi cationIndustrial applications from unmanned vehicles to pipeline inspection were targeted. These applications require the IMU to be small, robust, and consume minimal power. Multi-axis components were chosen based on their performance and cost. The need for a small and robust module dic tated the selection of the physical confi gurations and material choices. In this case we wanted to package a 9 axis module (3 translational, 3 rotational, 3 magnetic vectors) in a 2cm per side cube. A fully electronic hybrid approach was developed using bare dies and flip chip bonding on c e r a m i c s u b s t r a t e s . H ow e ve r, f o r demonstration purposes, the exclusive use of bare d ie was unreal i s t ic . LTCC (Low Temperature Co-fi red Ceramics) was chosen as the base material of our design. This material is widely used in avionics control modules, high frequency communication systems, automotive components and other hyb r i d modu l e s r e qu i r i ng e x t r eme environmental resistance.

Second step: design layout & rules /modeling & simulationA C A M P c o n s i d e r e d a d i r e c t 2 D implementation on LTCC, but we soon realized that this would require a large footprint. After careful review of the design rules for LTCC processing, we decided to develop a 3D packaging approach for this design. LTCC sub-module layers were developed and segregated by function. This modular approach allows for both, a fl exible design for targeting the intended application

and greatly reduced development times. A pressure sensor was incorporated to estimate elevation, essentially creating a 10 axis IMU. The tota l des ign s tack inc ludes USB communication port and built-in Linear Technology Lithium ion charger and a battery, Atmel ARM microcontroller with temperature sensor, microSD card layer, Freescale environmental & magnetic sensors and STMicro inertial sensors.

Ansys multi-physics tools, among others, were used to refi ne and virtual simulate the prototype and Altium was used to capture the design. Simulation was performed for a range of conditions, 100’s of physical prototype variations were considered, allowing us to pick the best design for cost, robustness, manufacturability and size for prototyping and actual production. Simulation reduces development time and raises the probability of system success.

Third step: assembling the IMUHaving the right equipment, processes and tools is critical for prototype assembly, test

and characterization. ACAMP has production assembly equipment including fi ne placement for small components down to die level, wire bonding, dispense robots and much more. Automaton is used whenever possible in the manufacturing f low. ACAMP has semi-automated in-house LTCC prototyping and volume production. Laser micro-machining helped create the custom shapes in the LTCC tapes. Assembly robots are used for placing parts and glue dispense robots are used to place solder paste in cavities as opposed to the traditional solder mask.

Fourth step: test & characterizationThere are great benefi ts to characterization at every step of the process of assembly, documenting the results and using them to set up inline process controls. In addition

physical characterization on the finished product and sub-assemblies can prove valuable by stressing components at each step to force failures and potentially widen process windows. Failure analysis early on first articles is important, including SEM, X-ray, profi lometers and more. Environment testing including humidity, thermal shock, vibration, and drop testing should always be performed. ACAMP has all this equipment in house.

By connecting to the USB and JTAG ports, programming can be performed on the ARM micro-controller, and specialized tests can be run using automated bench equipment and Labview software. Finally, ACAMP has the only 3 axis rate table i n C ana da c ap ab l e o f t e s t i n g an d characterizing this product.

ConclusionA high quality product can be produced in a timely and cost effective manner using a systematic approach and lessons learned by following best practices.

[email protected]

Building a 10 Axis IMUAccording to Yole Développement, the IMUs market (including MEMS plus other technologies) dedicated to industrial applications, will reach $420M in 2012. Micronews editorial team invites ACAMP to contribute to this Special SEMICON West issue with the description of its new inertial MEMS device, the Smart Cube, a complete navigational solution.

Ideal 3 Axis rate table (Courtesy of ACAMP)

(Courtesy of ACAMP)

Smart Cube layered design (Courtesy of ACAMP)

Smart CubeAntennaGPSInertial Measurement and Environmental SensorYour Custom Sensors and ModulesmicroSD MemoryWireless CommunicationARM® Cortex®-M3USB Communication and Power

Page 6: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

6 | ISSUE N°148 | 20/06/2013

On June 27, 2013 at 08:00 AM PDT

Teledyne DALSA announces MIDIS™, the MEMS Integrated Designfor Inertial Sensors platform. MIDIS™ is the new MEMS technology ideal for high volume / low cost inertial sensing applications.

To learn more about MIDIS™ and register, please goto I-Micronews.com website, Upcoming Webcast section.

Sponsored by: Hosted by:

MIDIS™ PLATFORM BREAKS THEONE-PROCESS-PER-PRODUCTMEMS PARADIGM

REGISTER TODAY FOR LIVE WEBCAST

Speakers:Luc Ouellet,VP of Technology Development,Teledyne DALSA Semiconductor

Laurent Robin,Technology & Market Analyst,MEMS Devices & Technologies,Yole Développement

Moderator:Mike Mclaughlin,Business Development,Yole Inc.

Page 7: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

20/06/2013 | ISSUE N°148 | 7

MEMS

Bosch has been at the forefront of MEMS technology since it fi rst emerged, and it generates more sales in the extremely

dynamic MEMS sensor market than any other supplier. Since the start of production in 1995, the company has manufactured well in excess of three billion MEMS sensors, with production volumes hitting new highs year after year. It took Bosch 13 years to manufacture the fi rst billion, another three years to reach two billion, and only a further 18 months to cross the three-billion mark. In 2012, some 600 million sensors emerged from its state-of-the-art wafer fab in Reutlingen – or 2.4 million each working day. “It’s no longer possible to imagine automotive or consumer electronics without MEMS sensors. In the future, they will act as the eyes and ears for systems and objects connected via the internet of things and services,” says Klaus Meder, president of the Bosch Automotive Electronics division.

Tiny high-tech helpers for vehicles and smartphonesMEMS sensors are the smallest products Bosch manufactures. Their fi rst application was in

automotive electronics and Bosch has been producing these precision sensors for use in vehicles since 1995. A yaw-rate sensor that records the rotary movements of the car around its vertical axis is at the heart of ESP®, for example, and each modern vehicle is home to up to 50 MEMS sensors. In an automotive context, the key considerations for MEMS are their reliability and robustness, as the sensors have a direct impact on the safety of road users. Size and energy consumption are much less important factors. But the picture is quite different when it comes to smartphones or games consoles, which is why Bosch shrunk its sensors over the years to just one fi ftieth of their former size. The latest generation of these sensors unites a host of functions in a cas ing measur ing jus t a few square millimeters. Meanwhile the sensors’ energy consumption has been reduced by a factor of 100. Of all the suppliers in the market, Bosch is the only one producing sensor types for so many different applications. The company holds or has applied for a total of well over 1,000 patents, thereby safeguarding its innovative strength.

Bosch SensortecIn order to react quickly and flexibly to requirements in the extremely dynamic consumer electronics market, Bosch Sensortec GmbH in Reutlingen was founded in 2005. This Bosch subsidiary recently brought the world’s fi rst 9-axis sensor to market. The BMX055 is capable of measuring acceleration, yaw rate, and the earth’s magnetic field in all three spatial directions at the same time, which makes it suitable for a whole range of potential applications. The sensor can be put to work wherever there is a need to pinpoint a mobile device’s spatial location and position – or its orientation relative to the earth’s magnetic field – and can be integrated into even the smallest devices.

Engineers create incredibly f ine silicon structures inside the sensors that shift by fractions of a micrometer when the casing is moved. This alters their electrical properties, which is something that can be measured and converted into a data stream, telling a cell phone for example what position it is currently in. Bosch engineers are working with

dimensions that are incredibly small: while a human hair has a diameter of 70 thousandths of a millimeter (70 micrometers), some sensor components measure just 4 micrometers – 17 times less than a single hair.

Since micromechanical sensors produce only weak electrical signals, experts have integrated addit ional e lec tronics either into the component housing beside the sensor or sometimes even directly onto the same chip. These take the weak signal, then process it, amplify it, and convert it into digital data. In this way, MEMS sensors can provide measurements directly to control units. And these precision sensors will soon be able to do much more, whether sewn into articles of clothing to measure your heart rate, serving as mobile weather stations, measuring CO2 concentrations in the air, or picking up the typical movements we make when pocketing our cell phones so as to deactivate the display.

www.bosch.com

The process technology combines the C a v e n d i s h N a n o M e c h™ M E M S technology with the TowerJazz Power

CMOS process and custom RF interconnect in a single chip solution. Designed specifi cally for radio frequency (RF) applications, the Cavendish NanoMech™ MEMS technology has passed rigorous reliability testing and enables products boasting advantages in size and performance compared to other technologies. NanoMech™ MEMS technology can be combined with other TowerJazz technologies such as SOI CMOS, SiGe BiCMOS and Through-Silicon Vias (TSVs) to service a wide variety of emerging applications. Cavendish is shipping antenna frequency tuning products to strategic partners for sampling to

end customers. These products address challenges with 4G/LTE in achieving its theoretical data throughput. Performance has been limited for several reasons. The increasing number of features in phones and increasing number of frequencies supported require more components and antennas. The increasing size of displays creates a ground plane that blocks the antenna signal and limits performance. To address these trends which lead to fur ther RF per formance degradation, the Cavendish components are used to dynamically tune antennas. Because the Cavend ish component s have an Equ iva lent Ser ie s Res i s t ance (ESR) comparable to a passive component without needing a lossy RF switch, designers can

incorporate the components to perform Antenna Frequency Tuning (AFT) in mobile devices. AFT changes the resonant frequency of the antenna and enables much higher antenna effi ciency. RF switches, both discrete and solid state, can reduce effi ciency by up to 50% when used for AFT. Eliminating the switch dramatically improves overall RF system performance.

Higher demands on LTE mobile devices for better reception of wireless broadband signals is driving the need for this next generation solution. The Cavendish device improves the quality of RF signal by using a large array of bi-state MEMS capacitors on a CMOS chip to provide a variable capacitance

to the RF circuit. This class of components addresses needs for: antenna frequency tuning, antenna impedance matching, tunable power amplifi ers, and tunable fi lters.

Fabrication of these tunable solutions, as done at TowerJazz, includes standard CMOS processing steps, but uses a novel and differentiating technique that encapsulates the MEMS elements inside tiny micro-cavities to isolate the moving parts from the outside world. The encapsulation is performed within the semiconductor wafer fab process, not at packaging assembly facilities.

www.towerjazz.comwww.cavendish-kinetics.com

Tiny but highly complex: three billion Bosch MEMS sensorsPrecision sensors for measuring the world.

TowerJazz and Cavendish Kinetics collaborate to deliver high volume tunable RF MEMS products for fast growing 4G mobile marketTowerJazz and Cavendish Kinetics announced their collaboration to bring MEMS tunable RF solutions to the consumer mobile wireless market.

The boom in audio-enabled devices for noise cancellation and voice control is driving more microphones in devices,

says Allan Hughes, chief operating offi cer of the Edinburgh mixed signal silicon designer. This is driving integration and higher volumes. “One of the things we feel quietly confident about is integrating the separate transducer and the ASIC,” he said. “We can combine these two chips (left) into a single chip microphone and we know of no one else that can do that.” “We still have to productionize it but we think it will be 50 % the size and 25% of the power,” said Hughes. “We are not overly concerned about capacity and production will be in the hundreds of millions of units. We get thousands of die per wafer already but we are transitioning from 6in to 8in [with a 180nm process],” he said. To read the complete article, please visit EETimes website.

www.eetimes.com

Wolfson to move integrated MEMS mics to 8in wafersW o l f s o n M i c r o e l e c t r o n i c s i s integrating digital signal processing o n t h e s a m e d i e a s i t s M E M s microphones and moving production to 8in (200mm) wafers in the f irst to make the move.

Page 8: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

8 | ISSUE N°148 | 20/06/2013

WHAT ABOUT…

Monitoring environmental parameters i s key f o r l o t s o f a s s e t s and processes across industries if not

f o r a l l o f t hem. Be ing ab le t o keep specif ic temperature or pressure levels, guaranteeing exposure to a certain ambient light level or limiting exposure to different gases can make a big dif ference in the result of a product.

However, controlling such parameters is often very complicated due to the lack of suitable sensor solutions. Many business processes are unable to integrate new sensors to the existing infrastructure (or the cost of doing so is not justifi ed). Many others can’t actually use wired sensors due to physical limitations: take a rotating machine as an example.

W i r e l e s s s e n s o r s o l u t i o n s u s i n g technologies such as Zigbee or Bluetooth have proven to be a good solution for many applications. They are very interesting for retrof it ting due to great advantages in easiness of instal lat ion (no wires are required and lit tle integration with the a s s e t /p r o c e s s i s n e e d e d ) an d t h e maintenance cost is lower than that of the wired solut ions. The wire less sensor solutions have one main disadvantage though: they require their batteries to be changed – and once you have to change one, you would better change all of them to reduce maintenance costs, even if most of them do not need to.

Moreover, there are certain situations in which the use of batteries is not recommended due to reasons such as low or high temperatures – these can incredibly reduce battery performance – or risky environments (i.e.: high voltage environments).

The Fenix2 from Farsens is a battery free RFID sensor tag capable of transmitting a unique ident i f ie r and the assoc iated temperature data to a commercial EPC C1G2 reader without the need of a battery on the sensor tag. The device features a LPS331AP temperature sensor from ST Microelectronics with a temperature range from -30°C to +85°C (-22°F to +185°F).

The tag comes in a variety of antenna designs and sizes to adapt the performance to the required application. It can be encapsulated in an IP67 or IP68 casing for their usage in harsh environments.

With a reading distance or around 1.5 meters (5 feet), the battery free temperature sensor tag’s communication range is far superior to HF or LF battery-less sensor solutions – typically in the range of some centimeters.

The Fenix2 will never require a battery change, saving the costs associated with their maintenance. It can be embedded in a wide variety of materials such as plastics or concrete and completely forget about it until you need a measurement from them, they will always be available for you.

The typical applications for the full passive temperature sensors are temperature sensitive assets and processes. Each tag has a unique ID and a temperature sensor that is uniquely associated to that ID. It makes the Fenix2 a perfect solution for

applications such as cold chain monitoring or f ire prevention for high value assets (i.e.: switchgears).The pass ive temperature sensor is a produc t within the family of wire less

battery free sensor solutions that Farsens has developed. You can fi nd battery-less pressure sensors, orientation sensors and switches available. Humidity sensors, strain gages, ambient light sensors, gas sensors

and many more to come. Contact Farsens for more information or visit their website.

www.farsens.com

Wireless, battery free sensor tags to measure temperature in hardly accessible locationsFarsens’s Fenix1 battery-less and wireless temperature sensor tags need no wiring nor batteries to transmit their unique ID and associated temperature data.

Fenix1 battery-less and wireless temperature sensor (Courtesy of Farsens)

TECHNOLOGY MAGAZINESPart of Micronews Media

All over the World,stay connected

In-depth market & technology analysiswith a strategic eyeCombined reach to over 14,000 subscribersElectronic magazines - Quartely frequency

For more information, please visit www.i-micronews.comEditorial, Advertising & Subscriptions: S. Leroy ([email protected])

Powered by:

Firstrelease

in Sept.

2013

Front

Back

Page 9: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

9 | ISSUE N°148 | 20/06/2013

WHAT ABOUT…

Coventor’s SEMulator3D software is a powerful 3D semiconductor process modeling platform that offers wide

ranging technology development capabilities in a simple, robust, high-speed application. Three key capabilities of SEMulator3D are Virtual Fabrication, Variation Analysis and Design-Process Interaction.

Virtual fabricationVirtual Fabrication enables semiconductor process development at lower cost and higher speed than experimentation. It enables fast validation of process assumptions, and visualization of the complex interrelationship of design and process. To demonstrate the

benefits of SEMulator3D, we’ll explore the multi-step FinFET gate-stack etch, shown in Figure 1. The 3D nature of the structure means that etch requirements are complex; etch selectivity, anisotropy, sidewall angle and overetch must be carefully understood for

technology development. This can eliminate many iterations of trial-and-error integration experiments, characterization and analysis steps.

Variation analysis and virtual metrologyQuantitative analyses, such as variation studies, are also extremely valuable. Iterative parameterized modeling in SEMulator3D is executed using “Batch DOE” and automated measurements are enabled via vir tual metrology. Using these capabilities, sensitivity analyses can be performed to understand complex 3D structural variation, as in Figure 2. From the data, it is clear that the inner fin spacing is completely controlled by the mandrel

lithography. At low lithography biases (large mandrel sizes), the spacers in the SIT process merge, and the process failure is captured by the virtual metrology. The outer fi n spacing is dictated by both the mandrel lithography and the SIT spacer deposited thickness. Balancing these two process parameters carefully is critical to producing consistent pitch fi ns.

Predictive modeling of design-process interactionSEMulator3D also provides a predictive view into design-technology interactions. This is possible because technologists can validate process conditions throughout the process and carefully calibrate the SEMulator3D process models with hardware measurements and Virtual Metrology. Figure 3 shows that the post-epitaxial PFET space is sensitive to both varied parameters in the batch run. Adequate shorting margin is demonstrated for the nominal 20nm epitaxial thickness for all variations of spacer deposition thickness. The analysis identifi es process variation parameters that are critical to designers in the pre-silicon phase of product development. For instance, data from this DOE study shows unexpected behavior in the 30nm epitaxial case. Visual examination of the 3D models shows that this effect is real, and stems from the incomplete removal of the fin-top oxide in the thicker spacer cases, which alters the epitaxial morphology. This has exposed a rare design-process sensitivity in a process corner. Discovering these sensitivities early is essential to ensuring robust manufacturing margins.

ConclusionTechnologies at 22nm and beyond require advanced virtual development environments to deliver products to market on time. SEMulator3D provides a virtual fabrication env ironment that a l lows techno logy developers to study complex structures, process interactions and use advanced virtual metrology and batch DOE capabilities to answer critical quantitative analyses for process centering, electrical sensitivity analyses and design-process interaction.www.coventor.com

Coventor® SEMulator3D® semiconductor technology modeling highlightsRecent semiconductor technology advances, including FinFET, TriGate and High-K/Metal-Gate, have dramatically increased process complexity, cost and duration of technology development A systematic virtual development approach is now required to achieve time-to-market objectives.

Figure 1 (a) SEMulator3D model showing partial completion of a FinFET gate-stack etch and (b) the completed

gate-stack etch showing minimal metal undercut beneath the polysilicon gate electrode. (Courtesy of Conventor)

Figure 3 (a) Post-Epitaxial PFET space resulting from variations of SIT spacer deposited thickness and PFET

epitaxial thickness and (b) visualization of three model data points in the PFET shorting process DOE showing the

remaining fi n-top oxide in Case 2 altering the epitaxial morphology. (Courtesy of Conventor)

Figure 2 Fin-spacing (inner/outer) resulting from variations in mandrel lithography and SIT spacer thickness

(Courtesy of Conventor)

Mandrel Lithography Bias [nm per edge]

Page 10: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

10 | ISSUE N°148 | 20/06/2013

MEMS

Though MEMS standardization

will never happen, companies are optimizing their own technology

platforms…

Discover the NEW report onwww.i-Micronews.com/reports

MEMS Front-End

Manufacturing Trends

The new networked system includes the ADIS16229 iSensor® wireless vibration sensor node, which combines dual-axis

digital MEMs acceleration sensing with advanced frequency-domain and time-domain signal processing. The sensing system also includes the ADIS16000 gateway node, which supports up to six ADIS16229 sensors at one time using a proprietary wireless protocol, managed through an SPI interface compatible with most embedded processor platforms. The wireless function enables the remote monitoring of equipment in hard to reach or dangerous locations, while the vibration sensing and detection node is easy to install into existing infrastructure and allows continual monitoring that can be used to evaluate equipment performance and schedule predictive maintenance.

The ADIS16229 is a complete sensor node featuring a MEMs vibration sensor, an RF transceiver, and embedded frequency and time domain signal processing. The device captures shifts in equipment performance through direct analysis and reporting of the frequency-domain signature using a 512-point,

real-valued FFT (fast Fourier transform), FFT magnitude averaging, and programmable spectral alarms. An FFT record storage system offers users the ability to track changes over t ime and capture FF Ts with mult ip le decimation fi lter settings.The ADIS16000 gateway node wirelessly connects with up to six sensor nodes, and then interfaces with most embedded processor platforms, through a standard SPI interface.

ADIS16229 and ADIS16000 key features• MEMS wireless vibration system: 862 MHz

to 928 MHz• Sensor node (ADIS16229)

- Dual-axis, ±18g MEMS accelerometer - 5.5k-Hz resonant frequency- Sample rate up to 20 kSPS- Programmable wake-up capture, update

cycle times- Internal self-test, with status fl ags- Single-supply operation: 3.0 V to 3.6 V

• Gateway node (ADIS16000)- SPI to RF function- Manage up to 6 sensor nodes

www.analog.com

Analog devices’ MEMS wireless vibration sensing system enables remote monitoring of industrial machine healthAnalog Devices introduced a wireless vibration sensing system that allows industrial systems operators to remotely monitor production equipment health, improve system performance, and reduce maintenance costs. The platform is designed to provide high

volume, low cost manufacture of accelerometers and gyroscopes or the

in tegrat ion o f both in to an Ine r t ia l Measurement Unit (IMU), addressing the rapidly expanding demand for inertial sensors for consumer (mobile), automotive, and sports/health applications.

“Our MIDIS™ platform is a break-through technology that greatly reduces time to market by using a standardized process, rather than developing each new device from the ground-up, while making no compromises in performance,” said Donald Robert, VP of Marketing at Teledyne DALSA Semiconductor. “Our high performance Through Silicon Via (TSV) and wafer bonding approach provides high vacuum and hermeticity, without the need for expensive getters, in a very compact wafer level package.”

Key features and benefi ts• Low product cost achieved by reduced die

size and elimination of getter• Standardized process reduces time to

market, cost and development time• High vacuum and hermetic ity allows

resonator Q factors > 20,000

• Effi cient wafer level packaging minimizes overall die size

• Comprehensive design rules maximize yield and reliability

Sensors fabricated using Teledyne DALSA’s MIDIS platform are already sampled or in production with alpha customers and the platform is now being made available to the general market.

Webcast: introducing MIDIS PlatformInterested in learning more about the MIDIS platform? Register for our live webcast, which will take place on June 27, 2013. During the webcast, Luc Ouellet, VP of Technology Development at Teledyne DALSA Semic onduc to r and L aur en t Rob in , Technology & Market Analyst for Inertial MEMS Dev ices & Techno logy at Yo le Développement will explain the fi ner points of this breakthrough new platform and the exciting possibilities it enables for consumer device designers.

Registration on i-Micronews.com, Upcoming Webcasts section.

www.teledynedalsa.com

Teledyne DALSA announces MEMS integrated design for inertial sensors (MIDIS™) platformTeledyne DALSA Semiconductor announced the availability of its new MIDIS 200 mm MEMS fabrication platform for motion sensing devices.

Cave n d i s h K i n e t i c s a n n o u n c e d availability of production samples of its tunable RF capacitors to key strategic

partners. Use of Cavendish Digital Variable Capacitor (DVC) technology in wireless devices enhances the user experience, differentiates phones and lowers costs for handset makers. It also improves spectral effi ciency, coverage and customer retention for network operators. Potential customers include handset OEMs and ODMs and device

module manufacturers. Shipped as a chip scale package (CSP), the DVC is used to tune antennas, power amplifiers and filters to signifi cantly improve RF connection quality and signal strength. RF tuning is widely viewed as a leading technology solution for today’s challenging LTE, LTE-A and 4G wireless standards. The Cavendish technology meets stringent technical requirements while also fitting slim form factors; it is highly reliable, simple to implement and cost

effective. Cavendish high-performance, b r e a k t h r o u g h D V C p r o d u c t s f o r manufacturers of smartphones and other wireless devices wil l help resolve the industry’s toughest connectivity challenges. Use of internal antennas and demand for larger displays, as well as proliferating features and f requency bands, have contributed to a widening gap between actual and theoretical data rates for wireless devices. Radio performance is reduced

s ignif icant ly in many cases, creat ing challenges for device designers and network operators and frustration for consumers. As usage of bandwidth-intensive video and interactive gaming continues to increase, the performance gap is becoming not just a technical issue, but an economic one for wireless operators.

www.cavendish-kinetics.com

Cavendish Kinetics announces breakthrough RF MEMS technology for improving wireless component, device and network performanceProduction sampling of tunable RF capacitors under way with strategic partners.

Page 11: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

11 | ISSUE N°148 | 20/06/2013

MB Microtec

Contact: H. Yang ([email protected]) - KoreaC. Fabre ([email protected]) - Rest of the world

The MEMS industry: an $11B business

“The smart phone market is driving MEMS demand,” announces Yole Développement

Please join us at MICRO TECH WORLD 2013, July 10 -12

Seoul, Korea

REGISTRATION: US$100.00LOCATION: Coex D Hall, Seminar B

In a year when the semiconductor industry experienced a ~2% decline, 2012 saw the MEMS industry achieve 10% growth, making it an $11B business. Last year, smart phones drove big growth in inertial sensors and microphones; this year, look for inertial combos to begin replacing discretes, and for oscillators and RF MEMS to see increased volumes.

with technology & market trends, a review of the MEMS gyroscope patent landscape, and much more.

The full program will be available soon. Don’t miss this once-a-year opportunity to learn more about the MEMS market and its emerging applications!

Powered by: Supported by:

EVENTS REVIEW: TRANSDUCERS 2013 EVENTS REVIEW: MICRO NANO BIZ 2013

EVENTS REVIEW: SEMICON WEST 2013

Transducers 2013 is winding down in Barcelona; a far cry climate-wise from Anchorage, the show’s2015 destination.

So, what is a transducer? It’s a device that converts a signal from one energy form to another, and can act as both sensor and actuator.Hundreds of PhD students and research companies attend this biennial event to make presentations and learn what’s in store for MEMS technology’s future. Fundamental papers are presented and discussed, and the show is critical for the future MEMS sensor and actuator market, since MEMS concepts are showcased in their early developmental

stages before becoming an actual product. This year’s show welcomed 40+ exhibitors to present their latest innovative technologies.Meanwhile, Yole Développement took an ac t ive ro le in highl ight ing the MEMS industry’s industrial and market view. Yole Développement’s team conducted two MEMS manufac tur ing marke t b r ie f ings, in conjunction with Coventor, and an Emerging MEMS Devices brief ing in tandem with Freescale.“Transducers is the place to meet the top researchers and academics developing tomorrow’s sensing technology,” said Marc Osajda, Director, Pressure Sensor Business

Unit & EMEA Sensor Marketing at Freescale. “Also, the iCan student competition is refreshing, and shows that sensor applications are limited only by our imagination.”100+ people attended Yole Développement’s presentations and joined the networking event afterwards. Thanks to events like Transducer s 2013, and humank ind ’s eagerness to sense, analyze and impact its environment, MEMS has a bright future.

About Transducers 2015, please visit: http://transducers-eurosensors2013.org/

www.yole.fr

Register now for Veeco’s MEMS Technical Seminar, where industry experts will discuss manufacturing solutions for

next generation MEMS and Magnetic Sensors, such as patterning of advanced piezoelectric devices and high rate deposition of magnetic materials. The surging demand for low cost sensors requires fabrication methods that are proven high volume manufacturing techniques. In this seminar, we will focus on manufacturing innovations required for unique materials:• The ability to sputter at high rates while

maintaining magnetic alignment• New deposition techniques that enable

the deposition of soft magnetic materials such as Permalloy, for a variety of magneto-resistive MEMS applications.

• Ion beam etch for patterning of advanced piezoelectric devices while maintaining critical dimensions.

If you cannot attend but would still like information on MEMS and magnetic sensors manufacturing, visit Veeco’s website.

Speakers: Veeco, Yole Développement, HGST (a Western Digital company)…

www.veeco.com

Market and business vision at Transducers 2013 Yole Développement attended Transducers 2013 in Barcelona from June 16 to 20, 2013.

The Se cond Annua l InvenSense MotionTracking Developers Conference wil l again br ing together System

OEM’s, Ecosystem Partners, Application Developers, and Industry Analysts to learn about the technologies, market and future app l i c a t i o n s f o r Mo t i onTr a c k i ng i n smar tphones, tablets, and health and sports monitoring. This all day event will now be split into 3 Tracks: Smar tPhone/Tablet, Wearable Sensors, and Technical Developers track.

Each Track will feature expert speakers providing authoritative discussions and overview on important aspects in the fi elds of location based services and wearable sensors, and developer-focused technology tracks covering performance requirements and design considerations for products embedding MotionTracking technology. InvenSense’s technology platform and MEMS SoC solutions continue to proliferate and the overall MotionTracking market continues to expand, this is your opportunity to form new

business relationships, discuss market opportunities, and get answers to your design development questions.

Register now, space is limited.

Speakers: InvenSense, Yole Développement, Dysonics, Variable, Qualcomm, Broadcom, Trusted Positioning, Point Inside, XENSR, Articulate Labs, zSpace, TI,…

www.invensense.com

MotionTracking Developers Conference Organized by InvenSense - Thursday July 11, 2013 at 9:00 AM - The Palace Hotel - San Francisco, CA, USA.

This year’s SUSS Workshop will again feature speakers from preeminent companies and world-renowned research

institutions who will be presenting latest advancements in 3DIC and 3D Packaging Technology that you shouldn t miss.

S p e a k e r s : S U S S M i c r oTe c , Y o l e Développement, Nanium, LORD Corporation, Dow Chemical, GenISys, imec, Amkor Technology, Asahi Glass, Brewer Science, GLOBAL FOUNDRIES, Fraunhofer IZM Berlin…www.suss.com

SUSS Technology Forum Organized by SUSS MicroTec – Tuesday, July 9, 2013 at 9:30 AM - The St. Regis San Francisco, San Francisco, CA, USA. Reception immediately following.

Innovative Manufacturing Solutions for MEMS and Magnetic Sensors Organized by Veeco – Thursday, – July 4, 2013 at 1:30 PM - Tokyo Big Sight - Room 604, Tokyo, Japan. Reception immediately following.

Page 12: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

12 | ISSUE N°148 | 20/06/2013

But by modifying the underlying network of cellulose fi bers, etching off surface “fluff” and applying a thin chemical

coating, researchers have created a new type of paper that repels a wide variety of liquids – including water and oil.

The paper takes advantage of the so-called “lotus effect” – used by leaves of the lotus plant – to repel liquids through the creation of surface patterns at two different size scales and the application of a chemical coating. The material, developed at the Georgia Institute of Technology, uses nanometer- and micron-scale structures, plus a surface fl uorocarbon, to turn old-fashioned paper into an advanced material.The modified paper could be used as the f oundat ion f o r a new generat ion o f inexpensive biomedical diagnostics in which liquid samples would flow along patterns p r in ted on the pape r us ing spec ia l hydrophobic ink and an ordinary desktop printer. This paper could also provide an improved packaging material that would be less expensive than other oil- and water-repel l ing mater ials, while being both recyclable and sustainable.

“Paper is a very heterogeneous material composed of f ibers with different sizes, different lengths and a non-circular cross-section,” said Dennis Hess, a professor in the Georgia Tech School of Chemical and Biomolecular Engineering. “We believe this is the f irst time that a superamphiphobic surface – one that repels all fl uids – has been created on a f lexible, tradit ional and heterogeneous material like paper.”

Research leading to development of the superamphiphobic paper has been supported by the Institute for Paper Science and Technology (IPST) at Georgia Tech. Details were published online May 24 in the journal ACS Applied Materials & Interfaces.

To read the complete article, please visit i-Micronews.com website.

www.gatech.edu

Univer s i t y o f I l l ino is at Urbana-C hamp a i gn r e s e a r c h e r s h ave developed a cradle and app for the

iPhone that uses the phone’s built-in camera and processing power as a biosensor to detect toxins, proteins, bacteria, viruses and other molecules. Having such sensitive biosensing capabilities in the field could enable on-the-spot tracking of groundwater contamination, combine the phone’s GPS data with biosensing data to map the spread of pathogens, or provide immediate and inexpensive medical diagnostic tests in fi eld clinics or contaminant checks in the food processing and distribution chain.

“We’re interested in biodetection that needs to be performed outside of the laboratory,” said team leader Brian Cunningham, a professor of e lec tr ical and computer engineering and of bioengineering at the U. of I. “Smartphones are making a big impact on our soc iety – the way we get our information, the way we communicate. And they have real ly power ful comput ing capability and imaging. A lot of medical condi t ions might be moni tored ver y

inexpensively and non-invasively using mobile platforms like phones. They can detect molecular things, like pathogens, disease biomarkers or DNA, things that are currently only done in big diagnostic labs with lots of expense and large volumes of blood.”

The wedge-shaped cradle contains a series of optical components – lenses and filters – found in much larger and more expensive laboratory devices. The cradle holds the phone’s camera in alignment with the optical components. At the heart of the biosensor is a photonic crystal. A photonic crystal is like a mirror that only refl ects one wavelength of light while the rest of the spectrum passes through. When anything biological attaches to the photonic crystal – such as protein, cells, pathogens or DNA – the refl ected color will shift from a shorter wavelength to a longer wavelength.

To read the complete article, please visit i-Micronews.com website.

illinois.edu

Advanced paper: the foundation for inexpensive biomedical devicesPaper is known for its ability to absorb liquids, making it ideal for products such as paper towels.

Cradle turns smartphone into handheld biosensorResearchers and physicians in the field could soon run on-the-spot tests for environmental toxins, medical diagnostics, food safety and more with their smartphones.

MEDTECH

Droplets of water, motor oil, ethylene glycol, and n-hexadecane solvent bead up on a superamphiphobic paper sample that has been prepared at the Georgia Institute of Technology (Courtesy of Georgia Institute of Technology)

University of Illinois researchers developed a cradle and app for the iPhone (Courtesy of University of Illinois)

REGISTER ON LI N E TODAY AT WWW.MEPTEC.ORGS O O OREGISTER ON LI N E TODAY AT WWW.MEPTEC.ORGREGISTER ON LI N E TODAY AT WWW.MEPTEC.ORGIn Association With Corporate Sponsors

Page 13: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

13 | ISSUE N°148 | 20/06/2013

MEDTECH

The Bio-patch sensor developed by researchers at KTH Royal Institute of Technology is inexpensive, versatile and,

best of all, comfortable to wear.Geng Yang, a researcher at JRC iPack centre at KTH, says that the Bio-patch measures bioelectr ical signals through the skin, gathering data on different parts of the body depending on where it is placed. “On the chest it provides electrocardiography (ECG), on the skull it measures brainwaves (EEC), and on the forearm it can measure muscle response to stimulation from the nervous system (EMG),” he says. It also has a built-in sensor that constantly monitors body temperature. With

a wireless connection, the patient can analyse the readings in their smartphone, or send the data via internet to a healthcare professional for diagnosis. The thinking behind Bio-patch is that health care can be moved out of the hospitals and into the home, Yang says. “Bio-patch is a step towards what is known as self-care, which is valuable especially for patients discharged after an operation, or for the elderly living unassisted,” he says. While the technology is versatile, interest has focused on the heart. “Heart diseases account for the majority of all deaths in the EU,” he says.Yang says Bio-patch can also aid detection of brain disease, by generating EMG data that

helps physicians distinguish muscle changes from neurological problems. A paper thin battery energy source in the Bio-patch helps make the patch comfortable and as small as possible, he says. “Patient comfort will be an impor tant success factor for the next generation of medical technology,” Yang says. “With the Bio-patch, all electronic components are mounted on a fl exible foil, which makes it easy to attach to the skin and to wear comfortably,” he says. The patch can be discarded after use.Yang says several companies have already shown interest in the product.www.kth.se

Researchers are experimenting with various applications and add-ons capable of a range of health-related

tasks, including analyzing biological smears to identify illnesses, screening for oral cancer, monitoring lung health and diagnosing ear infec t ions. Now engineers at Cornel l University have created a new smartphone-based system, consisting of a plug-in optical accessory and disposable microfl uidic chips, allowing health-care workers in-the-fi eld to diagnosis of Kaposi’s sarcoma, a cancer linked to AIDS that remains prevalent in sub-Saharan Africa.

To read the complete article, please visit i-Micronews.com website.

scopeblog.stanford.edu

Biosensor patch monitors brain, heart, muscle signalThe future of health care could be found in a tiny, paper-thin skin patch that collects vital information.

Smartphone-based system provides in-the-fi eld diagnosis of cancer linked to AIDST h e s e d ay s s m a r t p h o n e s a r e increasingly becoming an invaluable medical tool.

A close-up view of the Bio-patch (Courtesy of KTH Royal Institute of Technology)

Life Tech, the genetic testing equipment maker that announced a $13.6 billion sale to Thermo Fisher Scientifi c in April,

also received a rival bid from “strategic party A,” according to Life Tech’s fi lings with regulators on Tuesday that detailed how the merger came together. The sources confirmed party A was Sigma-Aldrich, a make r o f c hemic a l s f o r r e sear ch laboratories. They asked not to be named because the matter is not public. While the rival bid was submitted by Sigma-Aldrich only, the company had planned to sell Life Tech’s gene sequencing business to Roche, which was interested in buying that business and not the entire company, the people said.

To read the complete article, please visit Reuters website.

www.reuters.com

Roche, Sigma teamed up for failed Life Technologies bidRoche Holding teamed up with S i g m a - A l d r i c h t o m a k e a n unsuccessful bid for Life Technologies earlier this year, people familiar with the matter said.

ZOOM

Visit: SELECTBIO.com/LOACWC2013

[email protected] +44 (0)1787 315110 Woodview, Bull Lane, SUDBURY, CO10 0FD, UK

SELECTBIO.com

For large groups or to discuss specific requirements, please contact us:

Email: [email protected]: +44 (0) 1787 315115web: www.SELECTBIO.com

2for1 PassesRegister now and get a second delegate pass for free

Call for Posters Deadline: 31 August 2013Submit an abstract for consideration now!

Venue and Accommodation

Join Us...

Keynote Speakers

Agenda Topics

Welcome to the Lab-on-a-Chip World Congress and exhibition. This year’s event will be held in San Diego.

The Lab-on-a-Chip World Congress will bring together leaders from both academia and industry to discuss innovative developments in this exciting field, with presentations exploring the latest advances in microfluidics and microfabrication.

Attention will also be given to some of the many applications of Labs-on-Chips, from the enhancement of life science research, to taking diagnostics to the point of need.

The conference will be co-located with Microarray World Congress, Point-of-Care Diagnostics World Congress and Single Cell Analysis Summit. Registered delegates will have access to all four meetings ensuring a very cost-effective trip.

Diagnostics and Medical Applications

Droplet-Based Microfluidics

Market Orientated Device Development

Nanotech on a Chip

Optofluidics

Point of Care Devices and Diagnostics

Single Cell Analysis

Andrew deMelloProfessor, ETH Zuric

Abraham LeeProfessor, University of California Irvine

Town and Country Resort Hotel500 Hotel Circle North San Diego California 92108

Tel: 619-291-7131

Industry Delegate $1199

Industry Delegate Early Bird $ 999(expires 31st July 2013)

Academic Delegate $ 699

Pre Doctoral Full Time Student $ 299

Exhibition Only One Day $ 75

Exhibition Only Both Days $ 125

Event Co-located with : Microarray World Congress,Point-of-Care Diagnostics World Congress and Single Cell Analysis Summit

12-13 September 2013 San Diego, USA

Page 14: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

14 | ISSUE N°148 | 20/06/2013

COMPOUND SEMICONDUCTORS

Element Six announced it has acquired the assets and intellectual property of Group4 Labs (Group4), a semiconductor

wafer materials company that manufactured Ga l l i um N i t r i d e (GaN) on - d i amond semiconductor technology for RF and high-power devices. The asset acquisition will expand Element Six’s semiconductor portfolio for defense and commercial applications. Group4 developed the first commercially available composite semiconductor wafer that includes GaN and diamond. Designed for manufacturers of transistor-based circuits with high power, temperature and frequency

characteristics, the f irst-ever GaN-on-diamond system enables rapid, effi cient and cost-effective heat extraction. This process reduces the operating temperatures of packaged devices, addressing heat issues that account for more than 50 percent of all electronic failures. Synthetic diamond dissipates heat up to fi ve times better than existing materials, such as copper and silicon carbide, enabling device manufacturers to produce smaller, faster and higher power electronic devices, with longer lifespans and improved reliability. When implemented within power amplif iers, microwave and

millimeter wave circuits, GaN-on-diamond systems pose numerous benef its and app l i cat ions wi th in the de fense and commercial sectors. This includes deployment in cellular base stations, radar sensing equipment, weather and communications satellite equipment, and inverters and converters typically used in hybrid and electronic vehicles.The Group4 GaN-on-diamond technology was a critical element of TriQuint Semiconductor’s d e v i c e w h i c h w o n t h e C o m p o u n d Semiconductor Industry Award in March. TriQuint demonstrated its new GaN-on-

diamond, high electron mobility transistors (HEMT) in conjunction with partners at the University of Bristol, Group4 and Lockheed Martin under the Defense Advanced Research Projects Agency’s (DARPA) Near Junction Thermal Transport (NJTT) program. TriQuint has designed devices using this technology to achieve up to a three-fold improvement in heat dissipation, the primary NJTT goal, while preserving RF functionality. This would translate into a potential reduction of the power amplifier size or increasing output power by a factor of three.www.e6.com

The NPT1015 is a 28V, DC- 2.5GHz, 50W power transistor with 12dB saturated gain and 60% peak drain effi ciency at

2.5GHz. The thermal resistance of the NPT1015 is 2.1°C/W, which is among the lowest in the industry in this power class. This GaN technology is capable of surviving the industry’s most severe robustness tests without signifi cant device degradation.Developed under an entirely new design p r o c e s s t ha t i n c o r po r a t e s t he r ma l management improvements to signifi cantly lower thermal impedance, the NPT1015 leverages Nitronex’s existing 28V NRF1 process platform, which has been in volume production since 2009. Devices from multiple wafers were mounted in a 50 ohm test circuit tuned for CW operation at 2.5GHz. The devices were operated at rated output power and subjected to a 15:1 VSWR at all phase angles. They showed 100% survivability with no measurable change in saturated output power.To read the complete article, please visit i-Micronews.com website.www.nitronex.com

Launched in April 2010 by the European Nanoelectronics Initiative Advisory Council (ENIAC) Joint Undertaking (JU),

a p u b l i c - p r i v a t e p a r t n e r s h i p i n nanoelectronics, LAST POWER links private companies, universities and public research centers working in the fi eld of wide bandgap semiconduc tor s (S iC and GaN). The consortium members are STMicroelectronics (Italy), project coordinator, LPE/ETC (Italy), In s t i t u t e f o r M i c r o e l e c t r on i c s and Microsystems of the National Research Council –IMM-CNR (Italy), Foundation for Research & Technology-Hellas - FORTH (Greece), NOVASiC (France), Consorzio Catania Ricerche -CCR (Italy), Institute of High Pressure Physics - Unipress (Poland), Università della Calabria (Italy), SiCrystal (Germany), SEPS Technologies (Sweden), SenSiC (Sweden), Acreo (Sweden), Aristotle University of Thessaloniki - AUTH (Greece).The main achievements in SiC-related efforts were based on the demonstrat ion by SiCrystal of large-area 4H-SiC substrates,

150mm in diameter, with a cut-off angle of 2°-off axis. The material quality, both in crystal structure and surface roughness, is comparable with the standard 100mm 4°-off material available at the beginning of the project. At LPE/ETC, these substrates have been used for epitaxial growth of moderately doped epi-layers suitable for the fabrication of 600-1200V JBS (Junction Barrier Schottky) d i ode s and MOSFE Ts, ow ing t o t he development of a novel CVD (Chemical Vapor Deposition) reactor for the growth on large-area (150mm) 4H-SiC.The quality of the epitaxial layer enabled the fabrication of JBS (Junction Barrier Schottky) diodes in the industrial production line at STMicroelectronics. The characterization of the fi rst lots showed electrical performance comparable with the state-of-the-art 4°-off material. In this context, the fundamental technological s tep was the chemical mechanical polishing (CMP) process - StepSiC ® r e c l amat i on and p l ana r i z a t i on - implemented at NOVASiC, which is a key

issue both for the preparat ion of the substrates before epitaxial growth and for the sub-nanometric control of the sur face roughness of the device active layers. Within the projec t, the same company also developed epitaxial growth capability for both MOSFET and JFET devices.

Additional research activities in SiO2/SiC inter faces have been car r ied out in collaboration with ST and IMM-CNR to improve the channel mobility in 4H-SiC MOSFETs.Finally, novel technological modules for high-temperature 4H-SiC JFETs and MOSFETs have been developed in collaboration between Acreo and FORTH, with the support of CCR for the study of molding compounds and “lead-free” die-at tach materials for reliable packaging solutions.

The LAST POWER project also researched the use of GaN-based devices in power-electronics applications. In particular, ST

successfully obtained the development of AlGaN/GaN HEMTs epitaxial structures grown on 150mm Si substrates, reaching a target of 3μm thickness and 200V breakdown. LAST POWER worked with IMM-CNR, Unipress, and ST to develop the technological steps for normally-off AlGaN/GaN HEMTs with a “gold-free” approach. The process modules are fully compatible with the device-fabrication fl ow-chart set in the ST production line and are being integrated for HEMTs fabrication. The fruitful interaction between the project partners working on material growth and device technology has enabled important steps towards monolithic integration of GaN-based and SiC-based devices, as both technologies have been successfully proven on 2°-off axis 4H-SiC substrates.

www.st.com

Nitronex qualifi es the rugged and reliable NPT1015 GAN HEMTNit ronex has fu l ly qual i f ied the r o bu s t NP T1015 G aN d i s c r e t e transistor.

European project drives the future of power microelectronicsLAST POWER 1, the European Union-sponsored program aimed at developing a cost-effective and reliable technology for power electronics, announced its three-year program achievements that place Europe at the forefront of research and the commercialization of energy-efficient devices for industrial and automotive applications, consumer electronics, renewable-energy conversion systems, and telecommunications.

Element Six acquires the assets & intellectual property of Group4 Labs to expand portfolio of synthetic diamond materials for the semiconductor industrySynthetic diamond enables higher performance Gallium Nitride devices, resulting in smaller, faster and higher power electronic devices for defense and commercial applications.

Displacement of silicon has already begun…

SiC 2013Discover the NEW report on

www.i-Micronews.com/reports

Page 15: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

20/06/2013 | ISSUE N°148 | 15

LED

Cree introduces industry’s fi rst no-compromise, ceramic, mid-power LEDs Cree is redef ining the mid-power LED market with the new XLamp® XH Series LEDs — the first family of mid-power, ceramic LEDs that offer no-compromise performance and reliability.

Everlight presents new HIR LEDs for proximity-sensors and high-tech intelligence touch panel applicationsEverlight Electronics introduces three new 850nm HIR (high ef f iciency infrared) LEDs featuring a high output power and narrow viewing angles which make them ideal for use in high-tech intelligence touch panel and proximity sensors.

Crystal IS wins top honor at the 2013 BlueTech forum water technology showcaseCrystal IS announced its win of the Disrupt-o-Meter™ Award at the 2013 BlueTech Forum in Amsterdam.

LUXeXceL completes A-Round venture funding and commitmentsLUXeXceL, the inventor of the propr ietar y Pr intopt ical Technology, announced the completion of the A-round by a Dutch Venture Capital company Chrysalix SET and the US based Turnstone Capital Management.

Unlike common mid-power plastic packages, XLamp XH-G and XH-B LEDs enable lighting manufacturers to create

a new generation of more energy-efficient, longer-life LED lighting solutions without sacrifi cing cost or performance. XH LEDs are optimized for fl uorescent-replacement-lighting applications, such as troffers and panel lights, where high efficacy, lifetime and smooth appearance are critical. The XH-G LED delivers leading effi cacy levels of up to 170 lumens-per-watt at 65 mA, 5000 K, 80 CRI and 25°C. In addition, the ceramic-based XH LEDs are designed to deliver the long L70 lifetimes at high temperature and high current operation of Cree’s other high-power LEDs, such as XP and XT LEDs. In comparison, plastic LEDs are known for very short L70 lifetimes at high-temperature and high-current operation. The XH LEDs allow

lighting manufacturers to offer products that meet the reliability expectations of LED technology. “The use of plastic packages for lighting solutions places a high degree of risk for end-customers and the industry in general,” said Paul Thieken, Cree director of marketing, LED components. “The XH LEDs provide peace-of-mind to lighting manufacturers while enabling them to better differentiate their products in the marketplace.”Both XH-G and XH-B LEDs share a common 3.0 mm x 3.0 mm footprint package with a 13 percent wider beam angle than most plastic mid-power LEDs. Cree® XLamp XH LEDs are offered in 2700-K to 7000-K color temperatures with high-CRI options available. Samples are available now and production quantities are available with standard lead times. www.cree.com

Two of them are top view SMD LEDs especially suited for proximity sensor applications. The HIR89-01C has a

viewing angle of 30° and achieves a very high radiant intensity 55mW/sr at 70mA. The HIR91-01C/L297 with a 40° viewing angle reaches an intensity of 40mW/sr at 70mA. Wide viewing angles with high output power rarely occur with most proximity sensors, making the HIR89 and HIR91 truly ideal for all

proximity sensor applications such as smart phones as well as optical touch panels.For side-view touch applications smaller than 80 inches, Everlight’s HIR83-01B in a slim SMD package features a radiant intensity of 5mW/sr a t 20mA and a v iew ing ang le o f 100°(X)/40°(Y). The asymmetric viewing angle is especial ly suitable for touch panel applications.www.everlight.com

Crystal IS was selected from a range of participants for the water sector award honor ing innovat ive, indus t r y-

revolutionizing technology.BlueTech Research monitors the global water market for companies with innovative and disruptive technologies and a strong go-to-market strategy. The Disrupt-o-Meter Award is given to the water technology company that has the highest potential to not only influence existing markets, but open up new markets because of its ability to be used in various applications. Additionally, the award analyzes the company’s business model and the quality of service provided with the technology. “BlueTech Research has long been tracking and analyzing the market potential for innovative and

disruptive water technologies. We believe that the technology presented by Crystal IS represents one of the strongest opportunities in the technical space in the next 5 to 10 years, and are excited about the potential for LEDs in the market, particularly within the water space. UV LEDs represent one of the top fi ve potentially disruptive water technologies, with the global UV water disinfection market worth $1.1Bn. UV LEDs have the potential to disrupt this market and access market share, and equally importantly, to open up new applications and markets previously inaccessible to conventional UV lamp technology,” stated Paul O’Callaghan, CEO of BlueTech Research.Crystal IS reported record outputs of greater than 60mW for their UVC LEDs earlier this year. www.cisuvc.com

The proceeds of this funding will be used to fi nance the Company’s growth, expand its R&D and sales operations and enhance its

leadership position in the new digital way to design, prototype and manufacture optics On Demand. LUXeXceL manufactures Optical Solutions using their patented “Printoptical” 3D printing process which does not require the use of molds, special tooling, nor post processing. This novel one step “CAD-to-Optic” process offers optics design professionals greater design freedom and rapid prototyping and offers manufacturers of luminaries significant cost reductions and time savings. LUXeXceL’s process allows for printing optically smooth structures and surfaces, which do not require any post-processing. Their innovative and unique digital manufacturing technology attracted quite some attention over last year. For example LUXeXceL received a Frost & Sullivan Award, became the Dutch FD Gazellen Winner best Start-UP of 2012, received recognition by the Wohlers Report 2012 and 2013 as new Emerging Technology for the

3D Printing Industry and recently won the Rapid Pro award of the Additive Manufacturing Event in the Netherlands. As the industry moves to digital new design options, more products concepts, enhanced configuration software, additional customizing sales activities and services come alive. There is not much difference anymore between manufacturing 1 prototype, 100 samples or 10.000 pieces of an end-product. In addition the capital intensive pre-investments in inventory and warehousing are heavily reduced through the On Demand availability. Next to that the profit impact of writing off obsolete tools and inventories will be reduced signifi cantly.

To read the complete article, please visit i-Micronews.com website.

www.luxexcel.com

September 17-19, 2013 San Francisco, CA, USA

15th Annual

The longest-running, preeminent technical event dedicated exclusively to the OLEDs industry - connecting researchers with customers and manufacturers in both the lighting and display sectors.

www.oledsworldsummit.com

CeCeCeCelelelelebrating 15 years of OLED-specicicicificficficfic ffffococococcususus, ,, the OLLLLEDEDEDEDDDDDDDsssss WoWoWoWoWoWoWWorlrrrld d d d d SuuSuSS mmmmmmmmmmmmmmmmmmititititititittitit 22222222220101010101010100 333 3 33 3 3 wiwiwiwiwiwiw llllllll kick off with an in-depth lolololoookokokokok iiintntntn o ooo ththththee ee stststs atatatatatatate e e ee of the OLEDs indndndusususustrtrtry,y,y iiiincncncclululuuudididididid ngngngnngggg aaaaaa lllllllooooooooooooookkk kk k atatatatattat ttttheeee kkkkkeyeyeeyeyyey pppppplalaalalalalaayeyeyeyeyeyeyeyeyerssrsrs, , ththththtththt e issues andddd mmmmmatatatatata erererriaiaiaiaiaiaialslslslsls. . . OvOvOvOverererr tttthehehehe cccccouououououoursrsrsrsr ee e eee ofofofofofof tttttthehehehehehehe eeeeeeveveveeeveentntntntntntnt, , , thththtthththroorororrr ugugugugugh h h h h tetetet chchc nininn cacacacallll tatataaaaatalklklklkkks s s sss ananananana dddddd papapapaapapanenenenennn llllllll prprprprresesesesesse ennenenenenentatatatatatatititiititiononononons,s,ss, attendees wwwwwililili ll eneneneneenjojojojoyy yy ininini -d-d-depepeppththhth sssesesesessisisisionononno ssss onononono uuuunrnrnnrnresesesessolololloolveveveveeveeddddddd chhhchhchaalallelengnggeses iiiiinnnn OLOLOLOLOLLEDEDEDEDEDED ttttececececcchnhnhnhnhnnnololololololologogogogogggy;y;y;y uuuundndndndnn ererererstststtstananaa diidingngngngng cccccololoo oror;; adaddvavancncccemememememenenenenent tttt tt inininininin OLOLOLOLOLEDEDEDED mmatatttereriaiaiaiaiai lslslsls ffffforrororor lllliigigi hththtining;g; smarttttt sssubbbststs raratetetees;s; mmeeeeeee tititiingngngn ccccccosossososso t ttt tatatataatatargrgrgrgrrgrgetetetettts;s;s;sss aaaandndndnd mmmmucucucuch,h,hh,, mucuucu h moore.OLLLLLEDEDEEDD mmmmataa ere ialssss forr llligigigightthththtining;g;g;g;g smart sssububststrara

LED in Road and Street Lighting

Discover the NEW report on

www.i-Micronews.com/reports

A $516M market for the

LED luminaire business by 2016

Page 16: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

16 | ISSUE N°148 | 20/06/2013

New Infi neon 3D image sensor chip family provides high integration touchless gesture control for computers and consumer devicesInfineon introduced a family of 3D Image Sensor chips for implementation of touchless gesture recognition.

LumaSense introduces Rel-Rad™ - breakthrough thermal imaging technologyRel-Rad™ Hybrid Imaging Technology combines single point pyrometry and thermal imaging in a new cost effective solution.

IMAGING

Developed i n c oope r a t i on w i t h pmdtechnologies, the new chips are the f irst to combine a 3D image

sensing pixel array with the digital conversion and control functionality needed to design very compact and accurate monocular systems for gesture recognition applications in computers and consumer electronics devices.

Touchless gesture control The Infineon 3D Image Sensor chips will simplify and enhance the way people interact with machines. They enable fast and reliable tracking of f inger movements and hand gestures to complement touch screen and mouse or stylus user interfaces.

“Gesture recognition will dramatically change the way people control computing and consumer electronic systems,” says Ralf Bornefeld, Vice President and General Manager of the Sense & Control business line at Infineon Technologies AG. “We expect touchless gesture interfaces based on our 3D Image Sensor to change the user experience and enhance productivity the same way that the mouse did decades ago with the PC.” 3D cameras based on the Infi neon 3D Image Sensor chip family can achieve unmatched levels of miniaturization and deliver an excellent user exper ience. High level integration lowers cost and size of a complete camera module. In fact, a reference design based on this chip is the smallest 3D image sensing camera now available.

Collaboration with pmdtechnologies The Infineon 3D Image Sensor chips were developed in collaboration with pmdtechnologies (Siegen, Germany), which is recognized as the world’s leading technology provider for 3D Image Sensors based on the Time-of-Flight (ToF) principle. The new chip family includes pmd’s ToF pixel matr ix and patented

Suppression of Background Illumination (SBI), a feature which improves the sensor chip’s dynamic range for indoor and outdoor operations.

“The consolidation of the existing and mature Time-of-Flight 3D sensing know-how at pmd and the volume-proven, mixed-signal CMOS process technology and design expertise of Infi neon will pave the way to the best possible user experience for touchless gesture recognition applications,” says Dr. Bernd Buxbaum, CEO of pmdtechnologies.

Key features of the Infi neon 3D Image Sensor familyThe Infi neon chip family offers the highest level of integration now available, including the photosensitive pixel array, sophisticated control logic, digital interfaces with ADCs (Analog-to-Digital Converters) and digital outputs. The Inf ineon 3D Image Sensor family currently inc ludes two members. The IRS1010C has a resolution of 160x120 pixels and the IRS1020C has a resolution of 352x288 pixels. Both are dynamically confi gurable via I²C interface, allowing adjustment in real time to changing lighting and operating conditions. The chips are delivered as bare die for integration with camera lens and Infra-Red (IR) illumination source in a camera module.

www.infi neon.com

LumaSense Technologies introduced a new infrared imaging technology called Rel-Rad™ - “relatively radiometric” imaging

that bridges the gap between single-point pyrometry and thermal imaging to deliver precise thermal images at half the cost of fully radiometric systems. Rel-Rad combines low-cost spot pyrometry with infrared thermal imaging technology to produce thermal images that are not fully radiometric but are “relatively radiometric.” By driving the thermal image palette through a radiometric pyrometer capable of precise temperature readings, Rel-Rad delivers relatively radiometric infrared imaging at a signifi cantly lower cost than fully radiometric imagers.

To read the complete article, please visit i-Micronews.com website.

www.lumasenseinc.com

In recent years the demand for human presence sensors has been growing in tandem with the demand for energy-

efficient “smart home” and “smart office” environments in which lighting, heating, etc. is automatically controlled according to where people are.

Since conventional pyroelectric human presence sensors (motion sensors) are only able to detect people when they are in motion, they are not as suitable for detecting the number of people in a certain space or their relative positions as Omron’s new thermal sensor.

MEMS non-contact thermal sensors measure temperature by converting infrared energy radiated from target objects into heat with MEMS thermopiles and then measuring the thermoelectromotive force resulting from temperature differences that occur across the contact points of two different types of metal. However, up till now it has not been possible to create large temperature differences across the metal contact points because much of the heat generated by the thermopiles dissipates into the surrounding a i r, m e a n i n g t h a t t h e r e s u l t i n g thermoelectromotive force is reduced thereby limiting sensitivity. Omron has solved this heat dissipation problem by vacuum sealing the thermopiles inside the chip - the fi rst time this has been achieved. The reduction in heat dissipation leads to a greater temperature difference across the metal contacts thereby increasing sensitivity.

Omron will now also work on commercializing stand-alone human presence sensor modules by combining non-contact thermal sensors with algor ithms that can accurately distinguish the number of people and their positions within a detected space.

www.omron.com

Omron develops the world’s fi rst 16x16 element MEMS non-contact thermal sensor for use in human presence sensors utilizing wafer-level vacuum packaging technology Omron announced that they have fi nished development work on the world’s fi rst infrared sensor manufactured with wafer-level vacuum packaging technology to create a 16x16 element MEMS non-contact infrared thermal sensor capable of highly precise 90-degree area detection.

ZOOM

What strategies are ideal for countering commoditization

in the machine vision market?

Machine Vision Technology & Market Trends

Discover the NEW report onwww.i-Micronews.com/reports

Infi neon 3D Image Sensor chip (Courtesy of Infi neon Technologies)

Omron’s MEMS non-contact thermal sensors (Courtesy of Omron)

Page 17: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

20/06/2013 | ISSUE N°148 | 17

WHAT ABOUT…

Most of it is mined and exported from China, which is reducing exports, causing indium’s price to increase.

Indium tin oxide is deposited as a vapor in a relatively slow, expensive coating process, adding to its cost. ITO fi lm is brittle, which is a major reason the signature pads at grocery store checkout lines eventually fail and why there is not yet a fl exible, rollable iPad. High refl ectance of this material reduces contrast ratio. And finally, ITO’s optical properties degrade rapidly below 50 Ω/�.

Another emerging ITO coating alternative, Ag nanowires, loses transparency if deposited with the low resistivity required for larger display area coverage. Moreover, they suffer from haze associated with non-planar distribution of wires.The only viable alternative to ITO (and for large display screens the only solution) is a metal wire grid. Though inkjet printing of such a grid would appear to be an attractive option, the requirement that the wires be quite narrow (<2 micron) to be invisible to the human eye is not currently possible to meet with inkjet technology. Moreover, wide periodic lines (grating) could create a Moiré effects in combination with a pixel structure of a display.State-of-the-art nanolithography used in IC and memory manufacturing is limited by semiconductor wafer form factor (currently 300 mm round) and too expensive. An

e me r g i n g c omp e t i t i ve t e c hn o l o gy, Nanoimprint lithography (NIL), has an excellent resolution, but throughput is limited by the imprinting (feature f i l l ing) and demolding processes. Also, the patterns created by NIL process always contain a residual layer, which needs to be very thin in comparison to the feature depth, and uniform in order to be removed by plasma etching process without degradation of the pattern. This requirement makes NIL very problematic for production purposes.

Rolith uses a proprietary nanolithography technology called “Rolling Mask Lithography”, RML™, to fabricate a transparent metal wire grid on large areas of substrate materials.

RML is based on near-fi eld optical lithography, which is implemented using cylindrical phase mask. The process star ts by coating a substrate with a metal layer and then photoresist material, followed by exposure of the photoresist with UV light (currently i-line, 365 nm) through a cylindrical phase mask while the substrate is translated under the mask. Then af ter pattern development photoresist pattern is used as a mask for metal etch. An alternative process integration method is to sputter metal onto the patterned photoresist and then lift-off the resist.

RML has been used to produce transparent metal grid electrodes on glass. demonstrate. Feasibility and limited optimization of the RML process was done by the group of Prof. Jay Guo of Michigan University. They produced 200 nm linewidth aluminum electrode grids (40-80 nm thick) and with pitches of 10-30 microns and demonstrated 95% transmission with 165 Ω/� resistivity (80 nm thick wire, 30 micron pitch), and 85% transmission with

60 Ω/� resistivity (80 nm thick wire, 10 micron pitch). Further optimization of the process parameters and wire pattern should give much better transmission/resistivity performance in the near future.

Rolith, Inc. has an impressive IP portfolio of patents (6 issued, 14 pending) on lithography method and mask fabrication. Rolith has also exclusively licensed this transparent metal electrodes technology from University of Michigan.

A Gen-2 RML system capable of patterning substrates up to 1 m long has been running at Rolith for the past few months and now ready for application development work.Rolith, Inc. is looking for display and solar industry partnerships to bring this technology to commercialization.

www.rolith.com

Transparent metal grid electrodes for touch screen displays by continuous optical lithographyThe common material coated on glass or plastic devices to form a transparent conductive layer in the display screens of cell phones, TVs, computer monitors, e-readers and iPads, solar cells, LED light sources, “smart glass” windows, etc. is ITO (Indium Titanium Oxide). Indium, at $600 - $800 per kilogram, is an expensive rare-earth element.

RML-2 lithography system of Rolith, Inc. (built in cooperation with SUSS MicroTec) (Courtesy of Rolith)

«Rolling Mask» Lithography (RML™) concept of Rolith, Inc. (Courtesy of Rolith)

(©Synaptics, Inc.)

(©Synaptics, Inc.)

• High resolution• High throughput• Low cost• Extraordinary scalability

(Nanotechnology, v. 23, N 34, M. K. Kwak et al, 2012: Continuous phase-shift lithography with a roll-type mask and application to transparent conductor fabrication. ©IOP Publishing)

Sheet Resistance (Measured)Sheet Resistance (Calculated)

Page 18: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

18 | ISSUE N°148 | 20/06/2013

OPTOELECTRONICS

The investment of $1.5 million will secure continued collaboration between Intel and Tyndall over the next 3 years.

Under the agreement, Tyndall and Intel Researchers will investigate next generation materials, devices and photonics technologies which will build on the excellent work from the fi rst phase of this program and look to enable key innovations for future electronic devices.Intel Corporation announced details of the second phase of its research investment at Ireland’s leading ICT research Institute, the Tyndall National Institute at University College Cork. The investment of $1.5 million over the next 3 years secures the continued unique collaboration between Tyndall and the heart of Intel’s process technology research group in the U.S. and is a testament to the success of the fi rst phase of the program which ran from 2009-2012. The agreement will again provide Intel with a commercial exploitation license to technology created through the collaboration with Tyndall.Intel and Tyndall have been working closely together for a number of years on a range of different technologies leading to the first phase of research investment by Intel in the Institute in 2009.Through their publications and technology, Tyndall researchers continue to demonstrate the i r ab i l i t y to innovate and invent technologies that could potentially advance the frontiers of semiconductor technology. This latest funding agreement, which is the only one of its kind for Intel in Ireland, enables the continuing relationship directly between Tyndall and Intel’s internal research group in Portland.Dr. Mike Mayberry, Director of Components Research in Portland Oregon and, Corporate V i c e P r e s i d e n t o f Te c hn o l o g y an d

Manufacturing Group, Intel Corporation joined with Eamonn Sinnott, General Manager at Intel Ireland and Vice President of Technology and Manufacturing Group, Intel Corporation, to make the funding announcement. Tyndall were represented by their CEO Dr. Kieran Drain and members of his senior staff, and Minis ter Simon Coveney, was also in attendance at the event which was held at Intel’s campus in Leixlip.Speaking at the event and announcing the new funding agreement, Intel’s Mike Mayberry said “I am delighted to be here to announce our next phase of funding at the Tyndall Nat ional Ins t i tute. This repeat Inte l investment of $1.5m for a further three years is a testament to the success of the 2009-2012 program in which we enjoyed a highly collaborative engagement which produced some very useful learnings for us across a range of challenging topics in areas such as photonics, device modelling and new material development“.Tyndall CEO, Dr. Kieran Drain added, “The renewal of this collaborative research program between Intel and Tyndall is an important validation of the work we carry out here at Tyndall. We have world leading researchers and world leading facilities engaged in delivering the best technology, knowledge and people to our par tners. Renewing an engagement of this size is a clear indicator that we need to continue our strategy of delivering scientifi c excellence with a deep understanding of the issues involved in putting new materials and processes into practice in real devices. Tyndall operates a fl exible ecosystem that houses both basic and applied researchers and strives to deliver solutions that are to the standards of a world leading semiconductor company like Intel”.

“This agreement with Intel, is a direct result of the investments over the past 10 years by Science Foundation Ireland (SFI), the Higher Education Authority (HEA) and the Industrial Development Authority (IDA), which has attracted leading scientists and built word-class research facilities in Ireland. Now, more than ever, it is essential that the government maintains its investment in R&D if Institutes such as Tyndall are to continue to be key attractors for foreign direct investment” added Kieran Drain.Minister Coveney, welcomed the second phase of the Intel-Tyndall advanced research agreement, saying it signaled an important collaboration between industry and higher education. “This is exactly the type of research partnership that we in Government are trying to foster illustrating how some of Ireland’s leading academics are working in collaboration with one of the world’s leading companies. The announcement underpins Ireland’s reputation as having the high-quality research infrastructure and talent needed to develop cutting-edge technologies for globally competitive markets. Intel is a company that is designing how the world will work in the future and this continued investment in the Tyndall National Institute is a testament to the quality and calibre of the research work being carried out there.”Intel Corporation continually raises the bar on innovation and excellence in its industry. Under the agreement, Tyndall and Intel Researchers will investigate next generation materials, devices and photonics technologies which will build on the excellent work from the fi rst phase of this program and look to enable key innovations for future electronic devices.

www.intel.com

The “JPCA Award” was founded in 2005 as an award system to the products as well as technologies to contribute to the

advancement of electronic-circuit technologies and industry. The 9th JPCA Show Award Winners are selec ted among NPI presentat ion participants by JPCA Show Award Selection Committee, which consists of Academic expert and Electronic Circuits Industry Specialists. The JPCA exhibition is a major electronics exhibition in Japan with more than 40 000 visitors per day. ISORG technology was presented by Techno-Alpha at the JPCA exhibition in Tokyo on June. Techno Alpha is distributing partner of ISORG in Japan. ISORG is the pioneer company of organic photodetectors and image sensors. “We give vision to all surfaces”: ISORG is the leading company in organic and printed electronics optical sensors with his disruptive technology transforming plastic and glass surfaces into surfaces able to see. ISORG technology enables many new applications and functionalities: a revolutionary user interface with 3D gesture recognition (the ‘post-tactile’ technology), large area digital imaging and scanning, interactive surfaces with motion and object detection. ISORG addresses various markets: medical digital imaging and life sciences, industry, smart packaging and consumer electronics.

www.isorg.fr

Mellanox® Technologies, a supplier of end-to-end interconnect solutions for ser ver s and s torage sys tems,

announced its intent to acquire privately held Kotura, an innovator and developer of advanced s i l i c on pho ton i c s op t i c a l interconnect technology for high-speed networking applications. Mellanox and Kotura have signed a defi nitive agreement under which Mellanox will acquire Kotura at a total cash purchase price of approximately $82 million subject to certain adjustments. The terms of the transaction have been unanimously approved by both the Mellanox and Kotura boards of directors.The transaction is currently projected to close in the second half of 2013, subject to the completion of certain closing conditions. Mellanox currently expects the transaction to be accretive to its full f iscal year 2014 earnings by approximately $0.01 to $0.03 per share on a non-GAAP basis. The acquisition is expected to expand Mellanox’s ability to deliver cost-effective, high-speed networks with next generation optical connectivity, allowing data center customers to meet the growing demands of high-performance, Web 2.0, cloud, data center, database, f inancial services and storage applications. Mellanox believes that the Kotura acquisition will enhance its abil ity to provide leading technologies for high speed, scalable and effi cient end-to-end interconnect solutions.Silicon photonics is expected to play a significant role in the enablement of high-

speed networks. With world class expertise and over 120 granted or pending patents in CMOS photonics and packaging design, Kotura has made a number of ground breaking innovations in optical interconnects by integrating multiple high speed active and passive optical functions onto a silicon chip. Kotura’s technology will enable Mellanox’s interconnect products to reach 100Gb/s and beyond bandwidth, and have longer reach optical connectivity at a lower cost, allowing users to further reduce their capital and operating expenses and offer new revenue-generating services.Mellanox expects the proposed acquisition of Kotura to enhance its competiveness and its position as a leading provider of high-per formance, end-to-end interconnect solutions for servers and storage systems.Mellanox expects to establish its fi rst R&D center in the United States at Kotura’s current location. Further, Mellanox intends to retain Kotura’s existing product lines to ensure continuity for customers and partners.“Operating networks at 100 Gigabit per second rates and higher requires careful integration between all parts of the network. We believe that silicon photonics is an important component in the development of 100 Gigabit Infi niBand and Ethernet solutions, and that owning and contro l l ing the technology will allow us to develop the best, most reliable solution for our customers,” said Eyal Waldman, president, CEO and chairman of Mellanox Technologies. “We expect that the

proposed acquisition of Kotura’s technology and the additional development team will better position us to produce 100Gb/s and faster interconnect solutions with higher-density optical connectivity at a lower cost. We welcome the great talent from Kotura and look forward to their contribution to Mellanox’s continued growth.”“This acquisition is important for both companies to enable interconnect innovation for data centers that require solutions that move data faster and more ef f iciently. Together, we can execute faster and deliver better solutions based on Kotura’s silicon photonics platform that delivers the demands of 100Gb/s interconnects and beyond,” said Jean-Louis Malinge, president and CEO of Kotura, Inc. “We are delighted to join the Mellanox team and look forward to working together to propel the combined company’s further growth.”

www.mellanox.com

CoMellanox Technologies, the Nasdaq-listed provider of interconnects for servers and storage systems, has

commit ted fur ther to next-generation technologies based on optics.

The company, which is in the process of acquiring the silicon photonics specialist Kotura for $82 million, has now agreed to buy Denmark-based IPtronics for just under $50 million in cash. IPtronics, whose board of directors – along with that of Mellanox – has unanimously approved the deal, is a fabless provider of chips used in parallel optical interconnects, such as high-speed VCSEL drivers. It has offices in Copenhagen and Menlo Park, California, and has previously raised some $16 million in venture capital.

Following the Kotura announcement just a couple of weeks ago, the move to acquire IPtronics gives Mellanox further technological support for the transition to 100 Gb/s optical interconnects, whether based on silicon photonics or more conventional approaches. As with the Kotura deal, this latest transaction is expected to close in the second half of 2013, subject to the completion of certain closing conditions.

To read the complete article, please visit optics.org website.

optics.org

Intel announces $1.5 million research investment at the Tyndall National InstituteIntel Corporation announced details of the second phase of its research investment at Ireland’s leading ICT research Institute, the Tyndall National Institute at University College Cork.

ISORG receives the JPCA AwardISORG announced that it has been se lec ted for the JPCA Award for New Product Introduction.

Mellanox Technologies announces defi nitive agreement to acquire KoturaCombines high-performance interconnect solutions with leading silicon photonics technology to enable next generations of 100Gb/s speeds and beyond.

Mellanox deal to buy IPtronics increases focus on optical interconnectsVenture-backed Danish company is s e t t o j o i n s i l i c o n p h o t o n i c s specialist Kotura under Mellanox’s wing.

(Courtesy of Kotura)

Page 19: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

19 | ISSUE N°148 | 20/06/2013

During his speech the Minister of Energy Taner Yildiz expressed his support for the local PV industry and

underlined the importance of solar power for Turkey. On CSUN’s factory he comments: “I would like to congratulate CSUN because they invested not only in module production but also in cell manufacturing in our country. With this local production investors will benefi t from higher feed-in-tariffs.”

CSUN invested into a cell and module production facility in Istanbul-Tuzla in 2012 and operates this factory as CSUN Eurasia together with its local joint venture partner Seul Energy. The new production location will put CSUN in a good market position to serve the growing Turkish and Middle East solar markets as well as its many European customers.Tingxiu Lu, CSUN’s chairman,

explains: “Together with CSUN, I believe that the photovoltaic industry will see a brighter future. Our objective is to provide benefi ts to mankind and future generations. We are very proud of establishing CSUN’s fi rst manufacturing plant outside of China here in Turkey. This marks a very crucial and important step in CSUN’s continued drive for globalization. We continue to strive for excellence in product quality, security and good service to strengthen our position as a t rus ted and pre fer red par tner.“ The Chairman of Seul Energy, Mr. Cagri Seymen, adds: “Due to the increasing electricity prices and falling module prices all around the world, solar energy is becoming more and more attractive. Especially with the new regulations and tariffs, the solar energy sector is booming in Eastern Europe, Turkey and the Middle East. Istanbul is at the heart

of this region and CSUN Eurasia eases the investment decisions for solar investors by helping with legal barriers, logistics and local incentive issues.” Operational since January 2013, the factory in Istanbul mainly produces polycrystalline cells and modules based on CSUN’s well developed technology and equipment. After June this year, the annual production capacity for modules will total 300 MW. In addit ion to module production the manufacturing site provides a cell manufacturing capacity of 100 MW. To offer Turkish customers higher feed-in-tariffs, CSUN is currently also evaluating locally produced materials such as glass, frames and backsheets and expects to be compl iant with Turk ish local content regulations by the Q4 2013.

www.csun-solar.com

In addition to achieving the highest energy-generating efficiency, Soitec’s CPV systems feature very robust glass-

glass technology, particularly well suited for hot and arid environments. This design offers the lowest degradation and doesn’t need any water for cooling, making it perfectly suited for this installation within the driest desert in the world. The four CX-S420 systems installed for MET use Soitec Solar ’s leading-edge Concentrix™ CPV

technology and two-axis tracking systems to generate a total installed capacity of 64 kWp. In addition to providing electricity for the site’s data center, this installation serves as an important research and demonstration plat form for MET using innovative and environmentally friendly solar technologies, designed to reduce carbon footprints and optimize energy costs in hot, arid locations with high direct normal irradiation (DNI). To that effect, on May 22 MET and Soitec signed

a memorandum of understanding to leverage the experience gained from this pilot plant to fac i l i tate their respect ive plans of developing additional projects with CPV technology in Chile.

In 2012, Chile’s renewable energy agency Centro de Energias Renovables (CER) published its National Energy Strategy ENE 2012-2030, in which it announced the approval of more than 3.1 GW in solar-

energy projects to address issues such as possible power shortages, price increases for fossil fuels, Chile’s enormous economic growth and increasing demand for electricity. As a country, Chile has the world’s highest solar irradiation and is expected to be the site of some of the world’s fi rst large-scale solar projects.

www.soitec.comwww.tesoro.cl

This result is related to the liquid-based dye solar cell (DSC).Speaking at the European Materials

Research Society Conference in Strasbourg, France, Dyesol Chief Scientist, Dr Hans Desilvestro, explained that the “gold-standard” of novel photovoltaic device deve lopment i s c e r t i f i c a t i on by an international standard such as IEC 61646, where one of the harsher tests requires product stability at a constant temperature of 85°C for over 1000 hours.

Dr Desilvestro announced: “Dyesol has tested its industrially scalable Dye Solar Cell materials and the material set maintained over 90% of initial performance after an extremely stressful test of 5000 hours at a constant temperature of 85°C.”

“Our Dye Solar Cell technology has exceeded the time required under one of the most stringent IEC durability tests by 400 per cent!”The present announcement relates to the liquid-based DSC system and the Company is

also moving forward with similar evaluations for the solid state DSC system. Dyesol and its R&D collaboration partners will continue to develop l iquid-based and sol id-s tate technologies in parallel to suit applications most relevant to their specifi c attributes.Dye Solar Cell technology is a third generation photovoltaic and nanotechnology which is printed onto glass, metal or plastic substrates in a series of very thin layers – many times thinner than a human hair. The technology can be transparent or opaque, and a number

of colour options are possible. On glass, Dye Solar Cell technology can appear like a modern stained glass window – the value-add being it produces clean energy right at the point of consumption.

Key markets for Dye Solar Cell technology include: Building Integrated Photovoltaics (BIPV), consumer electronics, and remote sensors.

www.dyesol.com

CSUN in Turkey - new factory in Istanbul offi cially inaugurated CSUN, a specialized manufacturer of solar cells and modules took the next step in its globalization approach and celebrated the grand opening of its factory in Istanbul yesterday together with the Turkish Minister of Energy Taner Yildiz, the Vice President of the government party and parliament member Salih Kapusuz as well as the Chinese Consul General Zhang Qingyang.

Minera El Tesoro mining group and Soitec establish CPV pilot plant in Chile Minera El Tesoro (MET), part of one of the largest mining groups in Chile, has built the first pilot plant in South America with Soitec Solar technology, installing four of its highly efficient concentrator photovoltaic (CPV) systems at a site in Chile’s Sierra Gorda district to provide renewable energy for a remote copper-mining operation.

Dyesol dye solar cell axceeds PV durability test by 400% Dyesol announced its solar-enabling technology has exceeded a key international photovoltaic industry standard test for long-term durability by 400 per cent.

PHOTOVOLTAICS

NANOTECHNOLOGY

Traditionally, research is this fi eld is done by f i r s t p lac ing many d i f f e r ent substances on a glass or other surface to

study their effect on the cells of interest.The substances might be a potential anticancer drug that works by affecting the cell’s genetic material, or a molecule that will switch off a particular gene inside the cell. The researchers then cultivate cells on top of the potential medicine. Some of the cells will absorb the medicine, and the researchers can monitor the changes in the cells caused by the different drugs. But in many cases this method does not work very well, because some of the cells don’t want to take their medicine.

“With the new method, we attach molecules of the drug being tested to the tips of the nano-needles, and then inject it the same way you would with an ordinary medical syringe,” says Pawel Sikorski from the Norwegian Univers it y of Sc ience and Technology.

The researchers create the nano-needles in a small ceramic oven. In goes something that looks like aluminium foil with a small burnt patch on it (which is actually a wafer-thin piece of copper), and two hours later at 500 degrees, the copper reacts with oxygen in the heat, creating copper oxide.

The fi nal product looks like grey grass under the microscope, but the grass is actually the nano-needles. The next step is to put something similar to tallow onto the needles so that they can be removed from the copper plate. Glass is glued to the bottom, so that everything is transparent. The f inished product looks like a small, round bed of nails. Researchers can now put cells on top of the nano-needles, and see if test drugs can be injected into cells.But some cells are trying to fool scientists. While some cells readily impale on the nano-needles, others encapsulate the needles and grow around them.

“We are currently working on finding the correct methods to insert the needles, to ensure that all of the cells are impaled,” says Sikorski.Nobody else in Norway is making nano-needles like these. The NTNU researchers are also the fi rst group in the world to develop an even, larger-size copper surface with nano-needles.NTNU is the second largest of the eight universities in Norway, and has the main national responsibility for higher education in engineering and technology.

sciencenordic.com

Nano-needles for cells at the NTNU TrondheimOne way to understand how different molecules infl uence cell function is to deliver the molecules directly into cells and study the effect.

Organized by

With support of

Media partners

SOLAR MEETS GLASS4TH INDUSTRY SUMMIT FOR MARKETS, COSTS AND TECHNOLOGY

09–10 OCTOBER 2013 DÜSSELDORF, GERMANY

Contact: David Gaden · Senior Project ManagerPhone: + 49 30 726 296 373 Email: [email protected]

Page 20: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

20 | ISSUE N°148 | 20/06/2013

WHAT ABOUT…

Watch it now

Flip Chip: An established platform still in mutation...

...And despite its longevity, Flip Chip is still able to serve the most advanced packaging technologies

Editorial Webcast available today

*8:00 AM San Francisco; 5:00 PM Paris, 12:00 AM Tokyo

For more information and to register, please go towww.i-micronews.com/webcasts.asp or click here

Hosted by: Powered by:

PoP’s ver tical integration of DRAM memory package with an application processor (AP) package results in a

shorter signal path, leading to better signal integrity and faster data-rate transfer, thus improving overall device performance. The continuous push to achieve lower package heights, smaller package footprint, and higher memory speed, however, are driving new PoP options. Driven by market demand, these new PoP confi gurations incorporate technologies that are resulting in thinner package profi les [1].

Bare Die PoP has been in production for some time and differs from Flip Chip BGA only through the inclusion of memory interface (MI) pads on the substrate top side. PoP package technologies in general are measured and designated by a few key metrics including MI pitch, BGA pitch, and, most importantly, package height. Bare Die PoP offers the lowest height compared to other PoP confi gurations. Historically, Bare Die PoP had been reserved for applications with MI pitch of 0.65mm or 0.50mm, however, Bare Die PoP with 0.4mm MI pitch was not considered feasible due to the stack geometries and tight vertical clearances

between the top and bot tom package imposed by this pitch. MI pitch reduction not only allows for higher number of memory IOs, but also results in lower PoP stack height, a key desirable trend.

In those applications where aggressive package height reductions or 0.4mm MI pitch were required, Molded Laser-Via PoP (MLP) has been adopted as the ideal s o l u t i o n . M L P w i t h i t s o v e r- m o l d conf iguration provides better warpage performance compared to Bare Die PoP.

Additionally, due to its inherent structure of embedding solder balls on the MI pads, MLP provides an acceptable vertical clearance between the top and bottom package at a 0.4mm MI pitch, unlike Bare Die PoP, but at a package he ight p remium. Fur ther reduction in MLP Package height is achieved through the implementation of the Film-Assisted-Molding (FAM) Exposed Die (ED) PoP confi guration, which essentially reduces m o l d c ap h e i gh t c o mp a r e d t o t h e conventional MLP confi guration. The ED MLP confi guration has gained popularity over the traditional Bare Die PoP as it provides comparable package height to Bare Die PoP,

but with improved warpage performance [2]. Further height reduction of ED MLP can be achieved by reduction of die thickness, reduction of FC bump stand-of f height through conversion of FC solder bumps to copper column, and substrate thickness reduction. Table 1 below shows a number of MLP package options where the maximum bottom package height is reduced from 0.82mm down to 0.59mm through adoption of the features as shown.

Although MLP provides a robust solution set for 0.4mm MI pitch, it does so at a premium due to added process steps and differences i n s t r i p de s i gn. Re cen t t e c hno l ogy advancements in Bare Die PoP have enabled 0.4mm MI pitch through the application of fcCuBE™ technology, which uses copper column and bond-on-lead (BOL), resulting in lower d ie s t and-of f he ight [3]. Th is decreased stand-off height increases the space between the top memory package and bottom package, providing a robust solution for stacking and SMT operations at 0.4mm MI pitch. fcCuBE™ and BOL processes offer signifi cant cost reduction through substrate design rule simplif ication, elimination of tight Solder Resist Registration (SRR) rules, and elimination of Solder-on-Pad (SOP) [4], [5]. As the result, a cost-effective Bare Die PoP in a very thin profi le measuring only to 1.2mm maximum stack height with 0.4mm MI pitch has recently been developed and qualifi ed to address the needs of the cost sensitive, low tier Smartphone segment.

There are a number of trade-offs between MLP and Bare Die PoP with respect to pac kage war page and c o -p l ana r i t y performance and their scalability beyond 0.4mm pitch. The value proposition for MLP, however, is MI pitch reduction below 0.4mm and also the use of Molded Underfi ll (MUF), which leads to larger die size in a given package body s ize. For example, the reduction of MI pitch down to 0.35mm can

result in over 400 memory IOs, and adoption of MUF can eas i ly enable die s ize of 11x11mm in a 14x14mm body size. Such PoP packages are des igned to reach maximum stack height of less than 1.1mm in the near future, with a path down to 1.00 mm maximum stack height.

Hamid Eslampour for STATS ChipPAC®

www.statschippac.com

1. Eslampour H., et al, “Comparison of Advanced PoP Package Confi gurations,” Proc 60th Electronic Components and Technology Conf, Las Vegas, NV, May 2010.

2. Eslampour H., et al, “Advanced Thin PoP Technology,” IMAPS, Fountain Hills, AZ, Mar 2011.

3. Eslampour H, et al, “Low Cost Cu Column fcPoP Technology,” Proc 62nd Electronic Components and Technology Conf, San Diego, CA, May 2012.

4. Pendse R., et al, “Low Cost Flip Chip (LCFC): An Innovative Approach for Breakthrough Reduction in Flip Chip Package Cost,” Proc 60th Electronic Components and Technology Conf, Las Vegas, NV, June 2010.

5. Eslampour H, et al, “fcCuBE™ Technology: A Pathway to Advanced Si-node and Fine Pitch Flip Chip,” Proc 62nd Electronic Components and Technology Conf, San Diego, CA, May 2012.

PoP: the mainstream package of choice for smartphone applicationsPackage-on-Package (PoP) has become the mainstream package technology for Smartphones due to the level of integration it provides where space limitation and height reduction are the main technology drivers.

Table 1: MLP PoP package height reduction trends (Courtesy of STATS ChipPAC®)

Table 2: Actual measurement of critical dimensions of pre-stacked PoP package (Courtesy of STATS ChipPAC®)

Figure 1: Cross section of pre-stacked PoP package with two die stacked top memory package (Courtesy of STATS ChipPAC®)

Sample

A1 A2 C1 B1 B2 B3

OverallMold Cap (PoPt)

Substrate (PoPt)

Collapsed Gap Height Die + Collapsed Bump Height

Substrate (PoPb)

Solder Ball

(PoPb)

Center Right Total Die Thickness

Collapsed Bump Height

Stack-up Analysis - 0.400 0.120 0.150 0.045 0.150 0.105 0.070 0.035 0.410 0.180 1.260

Actual Dimension

#1 0.392 0.126 0.138 0.034 0.137 0.103 0.069 0.034 0.430 0.174 1.259

#2 0.389 0.121 0.140 0.037 0.139 0.105 0.070 0.035 0.428 0.176 1.253

<Dimension: mm>

B1

A1

A2C1

B2B3

Page 21: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

20/06/2013 | ISSUE N°148 | 21

Today’s package-on-package (PoP) structures are mainstream technology. Package to package interconnect is

generally accomplished by Solder Ball or Through Mold Via (TMV) connections as shown below. Invensas technology creates copper studs using WB tools and solders these to connections on opposing pads. They call this technology “Bond Via Array” or BVA.The WB interconnects are created on a K&S ICONN Bonder.

The wire-bond height, position and bond quality depend on:• The protruding copper studs are mated to

solder balls on the second chip package.

• The wires are coated with Palladium (Pd) to act as barrier against intermetallic growth. As long as the Pd coating is undamaged the bonding process can reportedly survive 230 hrs at 175 ˚C. The copper wire studs are then over molded by fi lm lamination.

The warpage for both the packages at the refl ow temperature was low enough to allow for package stacking with an IO pitch of 0.24 mm.

www.yole.fr

AMS is using this technology to its ambient light sensor devices and is planning to develop more highly

integrated and smaller devices for the smartphone and cameras market. Austrian chip maker ams is applying through-silicon via (TSV) 3D IC fabrication technology to its ambient light sensor devices. TSV technology is being used to interconnect stacked wafers in FPGAs and memory chips and ams believes it can apply the technology to analogue sensors to very small wafer scale packages.To read the complete article, please visit Electronics Weekly website.www.electronicsweekly.com

USHIO announced that the company has succeeded in developing the ultra-fi ne, high-speed direct imaging

(DI) system “UDI-8001P” with a resolution of 5 μm L/S and throughput of 35 seconds/panel. The “UDI-8001P” can be used for manufacturing next-generation FC-BGA packages for computers and network equipment.

The LDI sys tems cur rent ly used for manufacturing high-end packages such as FC-CSPs have a resolution of 10 to 15 μm L/S, an overlay accuracy of ±10 μm, and approx. 10 alignment points. The UDI-8001P achieves a much higher throughput — 35 seconds/panel — than conventional DI systems while offering a resolution of ±5 μm L/S, an overlay accuracy of ±5 μm, and 600 alignment points. The UDI-8001P thus

allows processing of ultra-fi ne-pitch FC-BGA packages that could not be processed by the conventional DI systems. USHIO also will simultaneously announce another DI model “UDI-8102P” with resolution of 8 μm L/S for FC-CSP packages.

Established in 1964, USHIO is a leading manufacturer of light sources such as lamps, lasers, and LEDs, in a broad range from ultraviolet to visible to infrared rays, as well as optical equipment and cinema-related products that incorporate these light sources. It also makes products in the electronics fi eld, such as semiconductors, f l a t p ane l d i s p l ay s and e l e c t r on i c components, and in the visual imaging fi eld, including digital projectors and lighting. Many of these products enjoy dominant market shares. In recent years, USHIO has

undertaken business in the life science a r ea, such a s t he med i c a l and the environmental fi elds. www.ushio.co.jp

Invensas Bond Via Array (BVA) PoP: A closer lookAt the recent ICEP in Japan, Rich Crisp, CTO of Invensas, gave a presentation on their Bond Via Array package-on-package technology. i-Micronews thought it was worth… A closer Look.

AMS uses 3D Chip Technology for smartphone sensorsThrough-Sil icon-Via (TSV) 3D IC technology is used to interconnect s t a c ke d wa f e r s i n F P G A s a n d memory chips.

USHIO presented its newly developed direct imaging system “UDI Series” for manufacturing printed-circuit boardsDirect imaging achieves resolution of 5 μm L/S and high throughput of 35 Seconds/Panel.

ADVANCED PACKAGING

Glass Substrates for Semiconductor Manufacturing

Height Wire spoolcontrol

Wire cut

Thermosonic,Ultrasonic

Bondingmotion

Capillarydesign

Wire shapecontrol

Bond Position

EMPC2013Grenoble, France

European Microelectronics Packaging

Conference

September 9-12, 2013

EUROPOLE

Centre de Congrès

5-7 place Robert Schuman

Grenoble, France

Registration and full programme onwww.empc2013.com

Europe

SPONSORS

MEDIA SPONSORS

PARTNERS

Ambient Light Sensor (Courtesy of AMS)

UDI-8001P (Courtesy of USHIO)

Controlling factors in stud formation (Courtesy of Invensas)

Page 22: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

22 | ISSUE N°148 | 20/06/2013

ADVANCED PACKAGING

The breakthrough was unveiled during ECTC’s 3D Materials and Processing session, when Ranjith John, materials

development and integration engineer at Dow Corning, presented a paper co-authored by Dow Corning, a developer of silicones, silicon-based technology and innovation, and SÜSS MicroTec, a supplier of semiconductor processing equipment.T he pape r, t i t l e d L ow Cos t , Room Te mp e r a t u r e D e b o n dab l e Sp i n o n Temporary Bonding Solution: A Key Enabler for 2.5D/3D IC Packaging, details the deve lopment o f a b i - l aye r sp in -on temporary bonding solution that eliminates the need for specialized equipment for wafer pretreatment to enable bonding or wafer post-treatment for debonding. Thus, it greatly increases the throughput of the temporary bonding/debonding process to help lower the total cost of ownership.“This advance underscores why Dow Corning values collaborative innovation. Combining our advanced silicone expertise

with SÜSS MicroTec ’s knowledgeable leadership in processing equipment, we were able to develop a temporary bonding solution that met all critical performance criteria for TSV fabrication processes. Importantly, the spin coat-bond-debond process we detailed in our co-authored paper takes less than 15 minutes, with room for further improvement,” said John. “Based on these results, we are confi dent that this technology contributes an important step toward high-volume manufacturing of 2.5D and 3D IC stacking.”

Both 2.5D and 3D IC integration of fer signifi cant potential for reducing the form factor of microelectronic devices targeting next-generation communication devices, while improving their electrical and thermal performance. Cost-effective temporary bonding solutions are a key enabler for this advanced technology by bonding ultra-thin active device wafers to thicker carrier wafers for subsequent thinning and TSV

format ion. However, in order to be competitive, candidate temporary bonding solutions must deliver a uniformly thick adhesive coat, and be able to withstand the mechan i c a l , t he rma l and c hemic a l processes of TSV fabrication. In addition, they must subsequently debond the active and carrier wafers without damaging the high-value fabricated devices.

Through their collaboration, Dow Corning and SÜSS MicroTec were able to develop a temporary bonding solution that met all of these application requirements.

Comprising an adhesive and release layer, Dow Corning’s silicon-based material is optimized for simple processing with a bi-layer spin coating and bonding process. Combined with SÜSS MicroTec equipment, the total solution offers the benefits of s i m p l e b o n d i n g u s i n g s t a n d a r d manufactur ing methods. In their co-published paper, the collaborators report a

solut ion exhibit ing a total thickness variation of less than 2 μm for spin-coated fi lms on either 200- or 300-mm wafers. The bonding material exhibited strong chemical stability when exposed to phosphoric acid, nitric acid, organic solvents and other chemicals familiar to TSV fabrication. In addition, the bonding solution and paired wafers showed good thermal stability when exposed to the 300°C temperatures common to the TSV process.

Dow Corning builds on a long history of silicon-based innovation and collaboration in semiconductor packaging. From die encapsulants for stress relief, to adhesives for sealing and bonding, to thermal interface materials for performance and reliability, Dow Corning’s well-established global infrastructure ensures reliable supply, quality and support, no matter where you are in the world.

www.suss.com

Dow Corning and SÜSS MicroTec report new temporary bonding solution for 2.5D and 3D IC packagingThe semiconductor industry’s march toward broader 3D IC integration marked an important milestone at the 2013 Electronic Components & Technology Conference (ECTC), with the report of an advanced new temporary bonding solution for 3D Through-Silicon-Via (TSV) semiconductor packaging.

ZOOM

The s ign-of f r eady f lows, jo int ly deve loped with the leading EDA providers, offer robust support for

implementing designs using sophisticated multi-die packaging techniques, leveraging through-silicon vias (TSVs) in 2.5D silicon interposers and new bonding approaches. Multi-vendor support is available, with full implementation flows from Synopsys and Cadence De s i gn Sys t ems . Phys i c a l verifi cation with Mentor Graphics’ suite of tools is included in the fl ow.

The GLOBALFOUNDRIES 2.5D technology addresses the challenges of multi-die integration with solutions for front-end steps such as via-middle TSV creation, and f lexibi l i t y for the backend steps, l ike bonding/debonding, grinding, assembly, and metrology.

“Our 2.5D technology provides designers with a path to enable heterogeneous logic and logic/memory integration, of fering increased performance and reduced power

consumption, without the need for additional packages,” sa id Andy Brotman, v ice president of design inf rastruc ture at GLOBALFOUNDRIES. “These benefits can now be realized very effi ciently with certifi ed design flows that provide support for the additional steps and design rules involved in the design process.

By working closely with our EDA partners, we can greatly reduce the development time and time-to-production using the most

advanced multi-die approaches.” The fl ows allow designer to quickly and reliably address the additional requirements of 2.5D design, including top-level interposer design creation and fl oor planning, as well as the increased complexity of using TSVs, front-side and back-side bumps, and redistribution layer (RDL) routing.

The fl ows support the need for additional verifi cation steps brought on by 2.5D design r u l e s . T he de s i gn f l ows wo r k w i t h GLOBALFOUNDRIES’ process design kits (PDKs) to provide real examples that demonstrate the entire fl ow. The user can download the design database, the PDK, detailed documentation and multi-vendor scripts to learn how to set up and use the GLOBALFOUNDRIES design fl ow. The fl ows use open source examples and provide the customer with working, executable and customizable fl ows.

The fl ows come with a CPU core and memory IP and all the scripts and settings to execute a Synopsys Galaxy™ Implementat ion Platform-based fl ow or Cadence Encounter®-based implementat ion f lows with the GLOBALFOUNDRIES PDK. Similarly, the Mentor Calibre® 3DSTACK tool is exercised in the fl ow to verify DRC, LVS and extraction within and between the various die stacks leveraging the same golden design kits as used inside of GLOBALFOUNDRIES.

To read the complete article, please visit i-Micronews.com website.

www.globalfoundries.com

GLOBALFOUNDRIES introduces certifi ed design fl ows for multi-die integration using 2.5D IC technologyGLOBALFOUNDRIES plans to unveil a comprehensive set of certif ied design flows to support 2.5D IC product development with its most advanced manufacturing processes.

EVG® LowTemp™ Debonding Platform

Multiple adhesives supply chain

High throughput production systems

Integrated metrology for process control

GET IN TOUCH To Discuss Your Manufacturing Needs

EVG®850 XT Frame HVM TB/DB Production System

Visit us at

Booth #819, South Hall

www.EVGroup.com

Page 23: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

20/06/2013 | ISSUE N°148 | 23

WHAT ABOUT…

Nowadays , p r ox im i t y e xpo su r e techno logies (mask a l igner) or projection lithography (step and

repeat or scanning) are the typical choices to create redistribution lines, pads, TSV patterns and others. However, the latest developments and advances in Excimer l a s e r ab l a t i o n and c omp l emen t a r y technologies, offer the promise of further reductions in manufacturing costs as well as enhancements in chip performance.

Excimer Laser ablation is a dry patterning process, utilizing a 248 nm or 308 nm Excimer laser source. The laser light is shaped and homogenized through a series of optics, where it is projected through a mask. The mask itself defi nes the pattern to be ablated, which is projected through a reduction lens onto the substrate or wafer, removing the material as desired. This technology is quite similar to a typical UV Stepper, however, instead of exposing a photo imageable material, the substrate is etched directly without the need for a photosensitive material nor any of the postdevelop and etch processes that accompany a photolithography process. Ablation is a physical and photo chemical removal process, breaking the materials molecular structures and directly etching the circuit patterns to desired depths on the substrate. Only those areas not protected by the mask a r e ab la t ed, una f f e c t i ng t he a r eas surrounding. This ‘non-thermal’ laser ablation process produces a very accurate replication of the mask image. Combined with a large fi eld projection lens and high power laser, the system can ablate areas up to 50 x 50mm at one time, resulting in high t h r oughpu t i n c ompar i s on t o o the r patterning technologies such as DPSS ablation or LDI (Laser Direct Imaging), where single laser beam is rastered, greatly limiting throughput capability.

Laser ablation offers the ability to directly e tch mater ia l s, o f fe r ing a means to signifi cantly reduce manufacturing costs in comparison to a photolithography process. By using Excimer laser ablation, many process steps and costly materials can be eliminated from the manufacturing flow, including resist coating, baking, developing, resist stripping and etching. Furthermore, laser ablation enables the use of less expensive non-photosensitive materials, which of ten promote bet ter thermal characteristics. Excimer ablation is suitable for ablating a wide variety of polymeric materials, thin metals (< 600 nm), epoxies, nitrides and other organic materials. For example, the technology can be applied to pattern conductive fi lms over polymer layers, while not damaging the underlying polymers.

Laser ablation in WLP applicationsL ase r ab la t i on, by de s ign, o f f e r s a signif icant potential for manufacturing cos t reduction and is currently being investigated by the packaging industry for various applications including patterning of RDL trenches and vias in FOWLP and WLCSP, blind via drilling for 3D TSV and via drilling for PoP applications in EMC for FOWLP.

In 2010, at the IEEE (ESTC conference) hosted in Berlin, AMKOR announced the development of a Fan-Out WLP Technology based on lase r ab la t ion pat te rn ing, addressing lower cost patterning solutions. The technology used ABF (Ajinomoto build-up film) and laser ablation to generate the RDL interconnect pattern. ABF, which was deve loped fo r mul t i - layer subs t rate fabrication, allows direct laser drilling of micro vias and traces, where these are f i l l ed w i th Cu us ing e l e c t r o - l e s s o r electroplating methods.

In a similar fashion to more standard fan out processes, chips are first attached face down to a laminating tape and compression molded into wafer form. At this point ABF or other EMC materials are laminated on the reconstructed wafer and vias are laser drilled. Thin f ilm processing is used for interconnect and UBM before the solder balls are attached. The par ts are then singulated. (Source: “Amkor Readying Fan Out WLP Technology” - Yole Développement article - 2010).

In this process, laser ablation was used to directly etch the RDL traces and pads to a specif ic depth in the dielectric material, followed up by the RDL vias down to the Cu or Au pads in two steps. In the f irst step, the trace/pad pattern is aligned to global or local alignment targets. Following a l i gnment , t he s ys t em ab l a t e s t he trenches and pads to the desired depth within the material. Following this step, the laser is used to continue ablation of the v ias down to the Cu or A l pads, stopping precisely on this metal layer without damaging the pad.

SummaryThe requirement for more functionality and higher performance of electronic devices continues, while also under the continued pressure of lower manufacturing costs. This trend will continue, requiring the adoption of innovative technologies in backend semiconductor packaging. Excimer laser ablation is a promising technology that will make and is already making its way into packaging applications to address these future requirements.

With the acquisition of Tamarack Scientifi c, SUSS MicroTec compliments its patterning technology with projection lithography and laser ablation competence. Laser ablation technology and toolsets are now available by SUSS MicroTec, which have been serving the semiconductor industry for over 60 years, with ear ly adopters within the industry already using this technology in production.

SUSS MicroTec will continue to focus on this promising technology, working in close cooperation with research institutes and industry partners to further increase the application spectrum of laser ablation.

Ralph Zoberbier and Matt Souter for SUSS MicroTec.

www.suss.com

Laser ablation – Emerging patterning technology for Advanced PackagingWafer Level Packages have emerged as the fastest growing semiconductor packaging technology. Rather than a single solution, wafer level packaging technologies are a set of different solutions including flip-chip wafer bumping, electroplated gold, solder bumps and recent copper pillar technologies. The chips can be packaged in many dif ferent ways, through fan-in WLCSP, fan-out WLP, 3D WLP, interposer and 3D IC interconnections using TSV’s. One of the most critical process steps of each technology is the patterning of features or interconnects in a most efficient but reliable manner.

Tyical optical set-up of a Excimer laser system (Courtesy of SUSS MicroTec)

SEM picture of ablated pattern (Courtesy of SUSS MicroTec)

Vertically Cufi lled Via Passivation

Die I/O PadDie I/O Pad

Platted Cu Platted CuAnnomoto Build-up fi lm

Mold Compound

Typical Fan Out WLP (Courtesy of Amkor Technology)

EXCIMER LASER

KrF (248nm) or

XeCl (308nm)

ANAMORPHIC BEAM

DELIVERY OPTICS

HOMOGINIZERMIRROR

CONDENSER

MASK

PROJECTION LENS

SUBSTRATE

SUSS ELP300 – HVM laser ablation equipment (Courtesy of SUSS MicroTec)

50nm ablated Au pattern on PET (Courtesy of SUSS MicroTec)

2 um

20 um

Die layout (Courtesy of SUSS MicroTec)

Page 24: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

24 | ISSUE N°148 | 20/06/2013

WHAT ABOUT…

Test Conditions: •  3-phase inverter •  Vbus = 675 V, fsw = 8 kHz, •  Rθ HS = 0.16 °C/W (forced air) •  Tamb = 40 °C •  Pout = 30 HP (22 kW)

SiC MOSFET PLoss Total = 121 W

TJ = 67.5°C η = 99.1%

Si IGBT 4 PLoss Total = 396 W

TJ = 136.2 °C η = 98.2%

70% lower losses with SiC Module

Diode conductionSW ConductionDiode SwitchingSW Switching

Si IGBTPLoss Total = 396 W

TJ = 136.2 °C

SiC MOSFETPLoss Total = 121 W

TJ = 67.5°C

Loss

es (

Wat

ts)

400

350

300

250

200

150

100

50

0

The CCS050M12CM2 is the industry’s fi rst commercially available all silicon-carbide (SiC) six-pack power module

in an industry-standard 45-mm package. The module hous ing is equivalent to Infi neon’s EconoPACK 2™ which provides power system designers a compact housing with flexible pin configurations and good thermal and electrical performance. Cree has utilized the standard form factor with an enhanced aluminum nitride base plate for higher thermal performance.

At 25°C, each phase leg has an RDSon of 25mΩ rising modestly to 40mΩ at 150°C. This RDSon provides the same 2V forward drop at 50A maximum current and 150°C operating temperature as the equivalent IGBT based module. At lower average operating currents, the SiC MOSFET device provides better forward conduction losses versus the bipolar IGBT. In addition, SiC MOSFET technology eliminates the high tail currents associated with IGBT’s bipolar junctions reducing switching losses by up to 80 percent. Overall, power modules utilizing SiC device technology provides high effi ciency performance over a broad range of switching frequencies.

Cree’s SiC power module family provides signif icant benefits to applications such a s s o l a r i n ve r t e r s , m o t o r d r i v e s , uninterruptible power supplies (UPS) and industrial power supplies. When designers simply substitute Si modules with SiC, the improved per formance of SiC reduces power losses, leading to reduced cooling requirements and, in turn, to a reduction in size, weight, complexity and the overall cost of the power-electronics system. The SiC efficiency advantage leads to a potential 70 percent reduction in the size of heat sinks or a 50 percent increase in system power density. For systems requir ing t rans formers or f i l te r e lements, the switching effi ciency can be used to enable higher system operating frequency. As magnetic and capacitor ratings are inversely proportional to operating frequency, higher f r equency r equ i r e s sma l l e r pass ive components which means smaller, lighter and less costly power systems.The new six-pack SiC module unlocks the traditional design constraints associated with power density, eff iciency and cost,

thereby enabling the designer to create high performance, reliable and low-cost power-conversion systems. When compared to state-of-the-art silicon modules, the SiC 1.2 kV, 50 A modules deliver performance equivalent to silicon modules rated up to 150A. In a motor drive reference design built by Cree, the CCS050M12CM2 module demonstrated the ability to drive up to 50HP (35kW) on the same thermal platform required by and equiva lent Inf ineon FS50R12KT4 IGBT module that could deliver just 30HP (22kW). That is a 66% power density improvement.

“The effi cient switching of the SiC modules allows us to use them with signifi cantly less derating than silicon IGBTs,” stated Dr. Jun Kang, research and applications manager, Yaskawa Amer ica, Inc . “This feature enables signif icantly higher frequency o p e r a t i o n , w h i c h b o t h i n c r e a s e s fundamental output frequency and reduces passive component size in the motor drive.”

Cree’s new module is powered by i t s recent ly re leased second generat ion MOSFET and f if th generation Schottky diode technologies. Each of the six switches contains a single Gen 2 SiC MOSFET chip and a single Gen 5 SiC Schottky Diode chip in anti-parallel. The Gen 2 SiC MOSFETs establish a new performance standard for power switches with 1.2kV b lock ing. Compared to Cree’s industry fi rst, Gen 1 MOSFETs, the new devices are signifi cantly higher in switching per formance with extended operating temperature (up to 150°C) and input gate voltage ranges versus the earlier versions. In addition, Gen 2 MOSFETs are less than half the cost of the initial offering. The Gen 5 Schottky diodes bring proven Cree reliability and near-zero reverse recovery performance to an industry largest, 50A rating while once again providing reduceddevice cost.

The CCS050M12CM2 six-pack modules from Cree are available for immediate shipping through standard online distributors. Gate-driver ICs suitable for SiC MOSFETs are available from IXYS and Texas Instruments. Complete gate driver boards (CRD-001) are

ava i lab le f o r pur chase f r om Mouser Electronics. Two applications notes are also available to help system designers get the maximum per formance f rom the new modules. For further information about the new Cree® SiC six-pack module, please visit www.cree.com/SiC-modules.

www.cree.com

Cree’s new silicon carbide power module enables higher-performance, more-reliable and lower-cost power conversion systemsCree is a market-leading innovator of semiconductor products for power and radio-frequency (RF) applications, lighting-class LEDs and LED lighting solutions. Cree Power has been a leader in silicon carbide (SiC) Schottky diode and MOSFET devices for power supply and alternative energy systems since 2002.

CCS050M12CM2: Cree SiC Six-Pack Power Module (Courtesy of Cree)

SiC MOSFET vs. IGBT Module Effi ciency in 30 HP Motor Drive (Courtesy of Cree)

Parameter CreeCCS050M12CM2

Infi neonFS50R12KT4

Comparison toInfi neon Si Module

Blocking Voltage (V) 1200 1200 Same

Max Continuous Current (A) Tc=100°C 50 50 Same

On-state Voltage (V)50A @ Tj=150°C 2.0 2.0 Same

On-state Voltage (V)10A @ Tj=150°C 0.4 1.0 Lower (2;5x)

EON @ 150°C (mJ)50A, 600V 1.1 5.8 Lower (5.3x)

EOFF @ 150°C (mJ)50A, 600V 0.6 4.5 Lower (7.5x)

QRR @ 150°C (μC) 0.28 10 Lower (35x)

Insulator AIN AI2O3 Lower ReJ-C

SiC 50A, Six-pack Power Module vs. Silicon IGBT Module (Courtesy of Cree)

Page 25: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

25 | ISSUE N°148 | 20/06/2013

The new devices leverage IR’s fi eld stop trench ultra-thin wafer technology that delivers lower conduction and switching

losses. Co-packaged with a soft recovery low Qrr diode and featuring a 10us minimum short circuit time rating, the devices are optimized for rugged industrial applications.“These new 1200V trench IGBTs feature very low Vce(on) and low switching losses while offering higher system effi ciency and rugged transient performance for increased reliability making them well suited to harsh industrial

environments,” said Llewellyn Vaughan-Edmunds, IGBT Product Marketing Manager, IR’s Energy Saving Products Business Unit.The packaged devices cover a broad current range from 10 – 50A. Other key performance benefits include Tjmax of 175°C, positive VCE(on) temperature coefficient for easy paralleling and low VCE(on) to reduce power dissipation and achieve higher power density. Die products are also available.

www.irf.com

Combining extremely fast and soft recovery characteristics with low forward voltage drop and low leakage

current, the new rectifi ers reduce switching losses in consumer products, LCD TVs, power supplies, and electronic ballast lighting.The FRED Pt rectifi ers released today offer designers a choice of current ratings, packages, and speeds to optimize effi ciency in their applications. With forward currents up to 3 A in the SMA package, 4 A in the SMB package, and 5 A in the SMC package, the devices increase power density to facilitate lower system costs. “U Speed” Ultrafast rectifi ers offer the advantage of lower forward voltage, while “H Speed” Hyperfast devices provide a lower reverse recovery charge.

The FRED Pt rectifi ers are intended for power factor correction (PFC) diodes, boost diodes for discontinuous conduction mode (DCM) and critical conduction mode (CRM), and freewheeling diodes in power switching applications. The devices feature a planar structure and platinum doped lifetime control to guarantee high overall performance, ruggedness, and reliability characteristics. The components offer an operating junction temperature to +175 °C for a more robust design.

The devices offer an MSL moisture level of 1 per J-STD-020, LF maximum peak of +260 °C, are RoHS-compliant and are halogen-free.

ABB announced its intention to acquire Dynamotive Ltd to expand its service offering in low and medium voltage

drives and motors.Coalville, UK–based Dynamotive designs, commissions and upgrades systems of drives, controls and motors for industrial and marine applications and automotive test r igs. Dynamotive has about 40 employees and is privately owned. Its owners will continue to work for ABB.“The acquisition of Dynamotive wil l enable ABB to expand its service operations including upgrade and retrofit capabilities in low and medium voltage drives. Furthermore, it will help ABB to increase its sales of complete industrial motion packages, which include drives, motors, controls and related services,” said Pekka Tiitinen, head of ABB’s Drives and Controls business unit. “By combining Dynamot ive’s ser vice and eng ineer ing capab i l i t i e s w i th ABB’s manufacturing capabilities and product know-how we will better serve our customers.”

Dynamotive will be integrated into ABB’s Drives and Controls business unit, led in the UK by Neil Ritchie. ABB is one of the world’s largest manufacturers of electric motors and drives. Drives adjust the speed of electric motors to match the actual demand of the application thereby improving productivity and energy effi ciency.“We are excited about the opportunity to join forces with ABB. We are confi dent that our customers and employees will benefi t from the increased level and quality of services that we can offer them,’’ said David Perkins, Dynamotive’s managing director, who will continue to lead Dynamotive’s operations under the ownership of ABB. “Through this deal we will get better access to ABB’s drive, motor and control technology, as well as access to ABB’s global sales and service network.”The transaction is expected to be completed during June 2013. www.abb.com

Vishay Intertechnology announces acquisition of MCB Industrie, a specialty resistor companyVishay Intertechnology announced the acquisition of MCB Industrie, a French manufacturer of specialty resistors for professional market segments. The purchase price was approximately EUR 17 million or approximately $23 million. MCB Industrie resistors are used as motion sensors in avionics, military, and space applications and as power resistors in traction, energy distribution, and various other industrial applications. Sales of MCB Industrie S.A. were approximately EUR 23 million or approximately $29 million for the year ended March 31, 2013.

“The acquisition of the company brings with it products and technologies that significantly enhance and strengthen our resistors portfolio, both in motion sensors for avionics, military, and space applications and in power resistors for energy distribution, traction, and industrial market sectors,” said Marc Zandman, Vishay Intertechnology’s Executive Chairman and Chief Business Development Offi cer.

“Vishay Intertechnology’s Growth Plan includes niche acquisitions of companies and businesses that complement our existing product portfolio and increase market share,” said Dr. Gerald Paul, Vishay Intertechnology’s President and Chief Executive Offi cer.

www.vishay.com

IR’s new 1200V IGBTs deliver higher power density and increased efficiency for motor drive and UPS applicationsInternational Rectifier, a world leader in power management technology, introduced a family of rugged 1200V ultra-fast insulated-gate bipolar transistors (IGBTs) optimized for industrial motor drive and UPS systems.

Vishay Intertechnology’s new FRED Pt® Hyperfast and Ultrafast recovery rectifi ers reduce switching losses in consumer products and electronic ballast lightingVishay Intertechnology introduced 10 solderable FRED Pt® Hyperfast and Ultrafast recovery rectif iers that include the industry’s f irst 3 A devices in the SMA package, 3 A and 4 A devices in the SMB package, and 4 A and 5 A devices in the SMC package.

ABB agrees to buy Dynamotive in the UK to grow its drives and motors service businessComplementary acquisition will enable ABB to broaden its service offering in low and medium voltage drives and motors

POWER ELECTRONICS

Device specifi cation table:

Part # Package IF(AV)(A)

VR(V)

VF at IF(V)

Typ trr at rated IF (ns) Speed

VS-3EGH06-M3 SMB 3 600 1.7 35 Hyperfast − Low Qrr

VS-3EGU06-M3 SMB 3 600 1.35 41 Ultrafast − Low VF

VS-3EMH06-M3 SMA 3 600 1.7 35 Hyperfast − Low Qrr

VS-3EMU06-M3 SMA 3 600 1.35 41 Ultrafast − Low VF

VS-4ECH06-M3 SMC 4 600 1.85 30 Hyperfast − Low Qrr

VS-4ECU06-M3 SMC 4 600 1.3 45 Ultrafast − Low VF

VS-4EGH06-M3 SMB 4 600 1.95 30 Hyperfast − Low Qrr

VS-4EGU06-M3 SMB 4 600 1.3 45 Ultrafast − Low VF

VS-5ECH06-M3 SMC 5 600 1.95 30 Hyperfast − Low Qrr

VS-5ECU06-M3 SMC 5 600 1.35 45 Ultrafast − Low VF

How to gain market shares

and ST Microelectronics?

Super Junction MOSFETbusiness update

Discover the NEW report onwww.i-Micronews.com/reports

Page 26: “The SEMICON shows are where we see leading ... - Yole · ISSUE N°148 20/06/2013 THE DISRUPTIVE SEMICONDUCTOR TECHNOLOGIES MAGAZINE Free registration on PLATINUM PARTNERS: Everywhereyoulook™

20/06/2013 | ISSUE N°148 | 26

YOLE IN THE PRESS TECHNOLOGY & MARKET REPORTS

“Technological developments are also impacted by the quest for cost reduction, and LED manufacturers are now searching for equipment and/or materials with the right mix between cost and performance”, explains Pars Mukish, Technology & Market Analyst, LED at Yole Développement.Compared to the last edition, this update version provides you an update of all market metrics (packaged LED, equipment, materials…), an highlight of 2012 LED packaging trends (design, new technologies and materials per process step…) and lots of additional analysis (wafer bonding, thermal management at the LED module level…).

Key features:• Detailed technical analysis of each LED packaging

process step• LED market metrics (units and value): Forecast 2011 - 2017• Packaging equipment market metrics (units and value):

Forecast 2011 - 2017• Packaging material market metrics (units and value):

Forecast 2011 -2017• Technology roadmap for adoption of new technologies• Supply chain analysis for each LED packaging process

step

Released in February 2013

Sapphire: Display coverapplications could morethan triple the size ofthe industry within thenext 5 years …Es t ab l i shed app l i c a t i ons cou ld generate US$366 million in 2018. B u t a d o p t i o n o f s a p p h i r e f o r smar tphone display covers could generate an additional $1.3 to $2.6 billion depending on the adoption scenario.

Sapphire is currently used in some exotic, luxury phones. However the sapphire price reduction combined with the massive adoption of touch screen in smartphones have stimulated the interest of cell phone OEMS for this material. Crystal growth equipment manufacturer GTAT is leading the charge and recently created a lot of buzz around this application and on the OEM front. Apple is rumored to have conducted an extended due diligence.

Yole Développement announces its Sapphire applications - Touch screens, displays, semiconductor, defense and consumer applications of sapphire 2013 report.

Sources: LED Magazine – Silobreaker – Global Semiconductor & Packaging - Digitimes - Semiconductor Today – Laser Focus World – ElectronicProducts …

Road and street lighting is a key driver for LED technology in general lightingYole Développement releases its repor t, LED in Road and Street Lighting.

… “We estimate that LED luminaire revenue will reach $435M in 2013 and peak at $516M by 2016, fuelled by the increased need for energy effi ciency. Growth will be driven fi rstly by tunnel lighting, and then relayed into highway, road, residential and amenity lighting applications star ting in 2014”, explains Pars Mukish, Market and technology analyst, LED at Yole Développement. “By 2017, market size should decline because of a decreasing replacement market (due to LED-based systems’ higher lifetime) and also because of LED luminaire’s ASP”, he adds…

Source: Wallstreet-online – Semiconductor Today – EETimes Europe – LED Professional …

Discover Yole Développement latest reports. Detailed information about Yole Développement’s technology & market analysis is available on www.i-micronews.com, Reports section.

LED PackagingPackaging cost reduction is driving new technology and design adoption, and fuelling a booming equipment and material market.

Cu pi l lar and micro-bumping for memory, consumer electronics and mobile phones have reinvigorated the Flip-Chip market, enabling it to grow at a 19% rate and cater to the most advanced technologies, like 3DIC and 2.5D.

What ’s new compared to 2011 edition:• Fully updated 2010 - 2018 market forecast and bottom-up

approach, including micro-bumping for 3DIC! • 2012 installed capacity / Comparison between C2 and TCB • Strong focus on micro-bumping for 3DIC & 2.5D • Market share/data for Flip-Chip bonder • Detailed technology roadmap • Application focus: HB-LED, CIS, μbumping for 3DIC and

2.5D, memory, analog, RF, mixed signals IC

Released in March 2013

Flip-Chip Market and Technology Trends

Simplified technological roadmap for FC BGA(Source: Flip Chip Market and Technology Trends Report, March 2013, Yole Développement)

0

20

40

60

80

100

120

140

160

2002 2004 2006 2008 2010 2012 2014 2016 2018

Pitc

h (

m)

Screen Printing

Electroplating

Electroplating / Evaporation / Stud bumping

Micro-bump bonding

Bump-less ‘pads’?

For information on our reports and specifi c market analysis services, please contact D. Jourdan ([email protected])

Mid termCurrent

Crys

tal G

rowth

Polis

hing

Slici

ng

Lapp

ingCl

eanin

gBr

icking

Edge

poli

shing

Shap

ing

& be

velin

g

Other

s

302010

Sapphire display cover cost breakdown (in $)(Source: Touch screens, displays, semiconductor, defense and consumer applications of sapphire 2013,

Yole Développement, May 2013)

LED

lum

inai

re m

arke

t si

ze (

$M)

LED luminaire market size for road and street lighting (2008–2020)(Source : LED in Road and Street Lighting, Yole Développement, June 2013)

RE TER ON LI N E T T WWW.MEPTEC.ORGRRREEEGISGISTETETER ON LI NR ON LI N EEE TTTODAY AODAY ATTT WWW. WWW.WWW.MEPTECMEPTECMEPTEC.ORG.ORG

Ch

ip O

n B

oard

(C

OB

)

Leadframe / Heat slug Ceramic

Silicon (Wafer Level Packaging)

Leadframe / Heat Slug

Substrate Only Si Submount

Substrate

Ceramic

Ch

ip O

n H

eats

ink (

CO

H)

LED Die Chip Level

Packaged LED

Level1

LED Light

Engine Level 3

LED Module Level 2

PCB (MCPCB, FR-4, CEM-3, Ceramic…)

Heatsink (Metal, Plastic…)

Thermal management of LED - Main design options

(Yole Développement, January 2013)

(Source: LED Packaging report, Feburary 2013, Yole Développement)

Ch

ip O

n B

oard

(C

OB

)

Leadframe / Heat slug Ceramic

Silicon (Wafer Level Packaging)

Leadframe / Heat Slug

Substrate Only Si Submount

Substrate

Ceramic

Ch

ip O

n H

eats

ink (

CO

H)

LED Die Chip Level

Packaged LED

Level1

LED Light

Engine Level 3

LED Module Level 2

PCB (MCPCB, FR-4, CEM-3, Ceramic…)

Heatsink (Metal, Plastic…)