1494
Sentaurus™ Device User Guide Version K-2015.06, June 2015

Sentaurus™ Device User Guide · synopsys, inc., and its licensors make no warranty of any kind, express or implied, with regard to this material, including, but not limited to,

  • Upload
    others

  • View
    166

  • Download
    1

Embed Size (px)

Citation preview

  • Sentaurus™ Device User GuideVersion K-2015.06, June 2015

  • Copyright and Proprietary Information Notice© 2015 Synopsys, Inc. All rights reserved. This software and documentation contain confidential and proprietary information that is the property of Synopsys, Inc. The software and documentation are furnished under a license agreement and may be used or copied only in accordance with the terms of the license agreement. No part of the software and documentation may be reproduced, transmitted, or translated, in any form or by any means, electronic, mechanical, manual, optical, or otherwise, without prior written permission of Synopsys, Inc., or as expressly provided by the license agreement.

    Destination Control StatementAll technical data contained in this publication is subject to the export control laws of the United States of America. Disclosure to nationals of other countries contrary to United States law is prohibited. It is the reader’s responsibility to determine the applicable regulations and to comply with them.

    DisclaimerSYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.

    TrademarksSynopsys and certain Synopsys product names are trademarks of Synopsys, as set forth athttp://www.synopsys.com/Company/Pages/Trademarks.aspx.All other product or company names may be trademarks of their respective owners.

    Synopsys, Inc.690 E. Middlefield RoadMountain View, CA 94043www.synopsys.com

    ii Sentaurus™ Device User GuideK-2015.06

    http://www.synopsys.com/Company/Pages/Trademarks.aspxhttp://www.synopsys.com

  • Contents

    About This Guide xli

    Audience . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xliRelated Publications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xliiTypographic Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xliiCustomer Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xliii

    Accessing SolvNet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xliiiContacting Synopsys Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xliiiContacting Your Local TCAD Support Team Directly. . . . . . . . . . . . . . . . . . . . . . . xliv

    Acknowledgments. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xliv

    Part I Getting Started 1

    Chapter 1 Introduction to Sentaurus Device 3

    Overview of Sentaurus Device . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3Creating and Meshing Device Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5Tool Flow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

    Starting Sentaurus Device . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6From Command Line. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6From Sentaurus Workbench . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

    Simulation Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8Example: Simple MOSFET Id–Vg Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

    Command File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8File Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9Electrode Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11Physics Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12Plot Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12Math Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13Solve Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13Simulated Id–Vg Characteristic. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14Analysis of 2D Output Data. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

    Example: Command File of Advanced Hydrodynamic Id–Vd Simulation . . . . . . . . . . . 17File Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

    Main Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21Parameter File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

    Listing of mos.par. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22Report in Protocol File n3_des.log . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

    Electrode Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

    Sentaurus™ Device User Guide iiiK-2015.06

  • Contents

    Main Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22Physics Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

    Main Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25Interface Physics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

    Main Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25Plot Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26CurrentPlot Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

    Main Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26Math Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

    Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27Solve Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28Two-dimensional Output Data. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

    Example: Mixed-Mode CMOS Inverter Simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32Command File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33Device Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35System Section. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36File Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37Plot Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37Math Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38Solve Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38Results of Inverter Transient Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

    Example: Small-Signal AC Extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40Command File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40Device Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42File Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43System Section. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43Solve Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43Results of AC Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

    Example: Simulation of Magnetization Switching in a Magnetic Tunnel Junction . . . . . 46Structure Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46Command File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47Registering Custom Materials for Device Simulation. . . . . . . . . . . . . . . . . . . . . . . . . 48Setting Parameters for STT Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49Starting the Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50Visualizing the Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

    Chapter 2 Defining Devices 53

    Reading a Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53Abrupt and Graded Heterojunctions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

    Doping Specification. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55Material Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

    iv Sentaurus™ Device User GuideK-2015.06

  • Contents

    User-Defined Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58Mole-Fraction Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

    Mole-Fraction Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61Physical Models and the Hierarchy of Their Specification . . . . . . . . . . . . . . . . . . . . . . . 62

    Region-specific and Material-specific Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63Interface-specific Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64Electrode-specific Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

    Physical Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66Search Strategy for Parameter Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67Parameters for Composition-dependent Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

    Ternary Semiconductor Composition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70Quaternary Semiconductor Composition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72Default Model Parameters for Compound Semiconductors. . . . . . . . . . . . . . . . . . 73

    Combining Parameter Specifications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74Materialwise Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74Regionwise Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75Material Interface–wise Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76Region Interface–wise Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76Electrode-wise Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

    Generating a Copy of Parameter File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76Undefined Physical Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78Default Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80Named Parameter Sets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81Auto-Orientation Framework . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

    Changing Orientations Used With Auto-Orientation . . . . . . . . . . . . . . . . . . . . . . . 83Auto-Orientation Smoothing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

    References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84

    Chapter 3 Mixed-Mode Sentaurus Device 87

    Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87Compact Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88Hierarchical Description of Compact Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

    Netlist Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91Structure of Netlist File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91Comments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92Continuation Lines. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92The INCLUDE Statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92Numeric Constants. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92Parameters and Expressions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93Subcircuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94Model Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95

    Sentaurus™ Device User Guide vK-2015.06

  • Contents

    Elements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95Physical Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96Netlist Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

    SPICE Circuit Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

    Device Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100System Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101

    Physical Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102Circuit Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103Electrical and Thermal Netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104Set, Unset, Initialize, and Hint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106System Plot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107AC System Plot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107

    File Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108SPICE Circuit Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109User-Defined Circuit Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109Mixed-Mode Math Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110Using Mixed-Mode Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

    From Single-Device File to Multidevice File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110File-naming Convention: Mixed-Mode Extension . . . . . . . . . . . . . . . . . . . . . . . . . . 112

    Chapter 4 Performing Numeric Experiments 113

    Specifying Electrical Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113Changing Boundary Condition Type During Simulation . . . . . . . . . . . . . . . . . . . . . 114Mixed-Mode Electrical Boundary Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

    Specifying Thermal Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116Break Criteria: Conditionally Stopping the Simulation . . . . . . . . . . . . . . . . . . . . . . . . . 117

    Global Contact Break Criteria . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117Global Device Break Criteria . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118Sweep-specific Break Criteria . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119Mixed-Mode Break Criteria. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120

    Quasistationary Ramps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120Ramping Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121Ramping Quasi-Fermi Potentials in Doping Wells . . . . . . . . . . . . . . . . . . . . . . . . . . 122Ramping Physical Parameter Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124Quasistationary in Mixed Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126Saving and Plotting During a Quasistationary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127Extrapolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127Additional Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

    Relaxed Newton Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129Continuation Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130

    vi Sentaurus™ Device User GuideK-2015.06

  • Contents

    Transient Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134Numeric Control of Transient Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135Time-Stepping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136Ramping Physical Parameter Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137Extrapolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138Additional Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138

    Relaxed Newton Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138Transient Ramps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139Large-Signal Cyclic Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140

    Description of Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141Using Cyclic Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143

    Small-Signal AC Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144AC Analysis in Mixed-Mode Simulations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

    Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145AC Analysis in Single Device Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146

    Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147Optical AC Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148

    Harmonic Balance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148Modes of Harmonic Balance Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149

    MDFT Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149SDFT Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149

    Performing Harmonic Balance Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150Solve Spectrum. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151Convergence Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151

    Harmonic Balance Analysis Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152Device Instance Currents, Voltages, Temperatures, and Heat Components . . . . 152Circuit Currents and Voltages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153Solution Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153

    Application Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153

    Chapter 5 Simulation Results 155

    Current File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155When to Write to the Current File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

    Example: CurrentPlot Statements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157NewCurrentPrefix Statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158Tracking Additional Data in the Current File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158CurrentPlot Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159

    Example: Mixed Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161Example: Advanced Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161Example: Plotting Parameter Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162

    Sentaurus™ Device User Guide viiK-2015.06

  • Contents

    CurrentPlot Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162Tcl Formulas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163

    Dataset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165Init. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165Formula. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165Finish . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168

    Device Plots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169What to Plot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169When to Plot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170Snapshots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171Interface Plots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172

    Log File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172Extraction File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173

    Extraction File Format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173Analysis Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175File Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176Electrode Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176Extraction Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176Solve Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177

    Chapter 6 Numeric and Software-related Issues 179

    Structure of Command File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179Inserting Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180

    Solve Section: How the Simulation Proceeds. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181Nonlinear Iterations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182

    Coupled Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182Coupled Error Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183Damped Newton Iterations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185Derivatives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186Incomplete Newton Algorithm. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186Additional Equations Available in Mixed Mode . . . . . . . . . . . . . . . . . . . . . . . . . 187Selecting Individual Devices in Mixed Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . 188

    Plugin Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188Linear Solvers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189Nonlocal Meshes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190

    Specifying Nonlocal Meshes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191Visualizing Nonlocal Meshes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191

    viii Sentaurus™ Device User GuideK-2015.06

  • Contents

    Visualizing Data Defined on Nonlocal Meshes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192Constructing Nonlocal Meshes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193

    Specification Using Barrier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193Specification Using a Reference Surface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194

    Special Handling of 1D Schrödinger Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195Special Handling of Nonlocal Tunneling Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196Unnamed Meshes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196Performance Suggestions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197

    Monitoring Convergence Behavior. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197CNormPrint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198NewtonPlot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198Automatic Activation of CNormPrint and NewtonPlot. . . . . . . . . . . . . . . . . . . . . . . 199Simulation Statistics for Plotting and Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199

    Simulation Statistics in Current Plot Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199Simulation Statistics in DOE Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200

    Save and Load. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201Tcl Command File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203

    Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203sdevice Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205sdevice_init Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206sdevice_solve Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206sdevice_finish Command. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206sdevice_parameters Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206Flowchart . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207Extraction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207

    Available Inspect Tcl Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208Output Redirection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209Known Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209

    Parallelization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210Extended Precision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212System Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214

    Part II Physics in Sentaurus Device 215

    Chapter 7 Electrostatic Potential and Quasi-Fermi Potentials 217

    Electrostatic Potential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217Dipole Layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218Equilibrium Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219

    Quasi-Fermi Potential With Boltzmann Statistics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219Fermi Statistics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220

    Sentaurus™ Device User Guide ixK-2015.06

  • Contents

    Using Fermi Statistics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221Initial Guess for Electrostatic Potential and Quasi-Fermi Potentials in Doping Wells . 221

    Regionwise Specification of Initial Quasi-Fermi Potentials . . . . . . . . . . . . . . . . . . . 222Electrode Charge Calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223

    Chapter 8 Carrier Transport in Semiconductors 225

    Introduction to Carrier Transport Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225Drift-Diffusion Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226Thermodynamic Model for Current Densities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227Hydrodynamic Model for Current Densities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228Numeric Parameters for Continuity Equation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228Numeric Approaches for Contact Current Computation . . . . . . . . . . . . . . . . . . . . . . . . 229Current Potential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230

    Chapter 9 Temperature Equations 233

    Introduction to Temperature Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233Uniform Self-Heating . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234

    Using Uniform Self-Heating . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235Default Model for Lattice Temperature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236Thermodynamic Model for Lattice Temperature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237

    Using the Thermodynamic Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238Hydrodynamic Model for Temperatures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 239

    Hydrodynamic Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242Using the Hydrodynamic Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243

    Numeric Parameters for Temperature Equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243Validity Ranges for Lattice and Carrier Temperatures . . . . . . . . . . . . . . . . . . . . . . . 243Scaling of Lattice Heat Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244

    References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244

    Chapter 10 Boundary Conditions 245

    Electrical Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245Ohmic Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245Modified Ohmic Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246Contacts on Insulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247Schottky Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248

    Barrier Lowering at Schottky Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249Resistive Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251Resistive Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256

    x Sentaurus™ Device User GuideK-2015.06

  • Contents

    Boundaries Without Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258Floating Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258

    Floating Metal Contacts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258Floating Semiconductor Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260

    Thermal Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261Boundary Conditions for Lattice Temperature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261Boundary Conditions for Carrier Temperatures . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263

    Periodic Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263Robin PBC Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264Mortar PBC Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264Specifying Periodic Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264

    Specifying Robin Periodic Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . 265Specifying Mortar Periodic Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . 266

    Application Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266Specialized Linear Solver for MPBC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266

    Discontinuous Interfaces. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267Representation of Physical Quantities Across Interfaces . . . . . . . . . . . . . . . . . . . . . 267Interface Conditions at Discontinuous Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . 268Critical Points . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268

    References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268

    Chapter 11 Transport in Metals, Organic Materials, and Disordered Media 269

    Singlet Exciton Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269Boundary and Continuity Conditions for Singlet Exciton Equation . . . . . . . . . . . . . 270Using the Singlet Exciton Equation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271

    Transport in Metals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273Electric Boundary Conditions for Metals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274

    Metal Workfunction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276Metal Workfunction Randomization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277

    Temperature in Metals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 278Conductive Insulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 278

    Chapter 12 Semiconductor Band Structure 283

    Intrinsic Density . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283Band Gap and Electron Affinity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283

    Selecting the Bandgap Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284Bandgap and Electron-Affinity Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284

    Bandgap Narrowing for Bennett–Wilson Model . . . . . . . . . . . . . . . . . . . . . . . . . 285Bandgap Narrowing for Slotboom Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286Bandgap Narrowing for del Alamo Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286

    Sentaurus™ Device User Guide xiK-2015.06

  • Contents

    Bandgap Narrowing for Jain–Roulston Model. . . . . . . . . . . . . . . . . . . . . . . . . . . 286Table Specification of Bandgap Narrowing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288Schenk Bandgap Narrowing Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 289Bandgap Narrowing With Fermi Statistics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293

    Bandgap Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 294Effective Masses and Effective Density-of-States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295

    Electron Effective Mass and DOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295Formula 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295Formula 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296

    Electron Effective Mass and Conduction Band DOS Parameters . . . . . . . . . . . . . . . 296Hole Effective Mass and DOS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297

    Formula 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297Formula 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297

    Hole Effective Mass and Valence Band DOS Parameters . . . . . . . . . . . . . . . . . . . . 298Gaussian Density-of-States for Organic Semiconductors . . . . . . . . . . . . . . . . . . . . . 298

    Multivalley Band Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301Nonparabolic Band Structure. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302Bandgap Widening . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 303Using Multivalley Band Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304

    References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307

    Chapter 13 Incomplete Ionization 309

    Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 309Using Incomplete Ionization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 309Multiple Lattice Sites . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 310Incomplete Ionization Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311Physical Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 314

    Chapter 14 Quantization Models 315

    Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 315van Dort Quantization Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316

    van Dort Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316Using the van Dort Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317

    1D Schrödinger Solver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317Nonlocal Mesh for 1D Schrödinger. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 318Using 1D Schrödinger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3191D Schrödinger Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319

    Explicit Ladder Specification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 320Automatic Extraction of Ladder Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . 320

    xii Sentaurus™ Device User GuideK-2015.06

  • Contents

    Visualizing Schrödinger Solutions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3221D Schrödinger Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3221D Schrödinger Application Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323

    External 2D Schrödinger Solver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324Application Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 325

    Density Gradient Quantization Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 326Density Gradient Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 326Using the Density Gradient Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327

    Named Parameter Sets for Density Gradient . . . . . . . . . . . . . . . . . . . . . . . . . . . . 329Auto-Orientation for Density Gradient. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330

    Density Gradient Application Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330Modified Local-Density Approximation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331

    MLDA Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 331Interface Orientation and Stress Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . 332Nonparabolic Bands and Geometric Quantization . . . . . . . . . . . . . . . . . . . . . . . . 333

    Using MLDA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334MLDA Application Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338

    Quantum-Well Quantization Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338LayerThickness Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339

    Combining LayerThickness Command and ThinLayer Subcommand . . . . . . . . . . . 340Geometric Parameters of LayerThickness Command . . . . . . . . . . . . . . . . . . . . . . . . 341

    Thickness Extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 342References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 344

    Chapter 15 Mobility Models 345

    How Mobility Models Combine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 345Mobility due to Phonon Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346Doping-dependent Mobility Degradation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346

    Using Doping-dependent Mobility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 347Using More Than One Doping-dependent Mobility Model . . . . . . . . . . . . . . . . . 348

    Masetti Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 348Arora Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349University of Bologna Bulk Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349The pmi_msc_mobility Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352PMIs for Bulk Mobility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353

    Carrier–Carrier Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353Using Carrier–Carrier Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354Conwell–Weisskopf Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354Brooks–Herring Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354Physical Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355

    Philips Unified Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355

    Sentaurus™ Device User Guide xiiiK-2015.06

  • Contents

    Using the Philips Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355Using an Alternative Philips Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 356Philips Model Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 356Screening Parameter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358Philips Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358

    Mobility Degradation at Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 360Using Mobility Degradation at Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 360Enhanced Lombardi Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361

    Stress Factors for Mobility Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363Named Parameter Sets for Lombardi Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364Auto-Orientation for Lombardi Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 364

    Inversion and Accumulation Layer Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . 364Coulomb Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 365Phonon Scattering. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367Surface Roughness Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368Stress Factors for Mobility Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 370Using Inversion and Accumulation Layer Mobility Model . . . . . . . . . . . . . . . . . 371Named Parameter Sets for IALMob. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 371Auto-Orientation for IALMob . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372

    University of Bologna Surface Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . 372Mobility Degradation Components due to Coulomb Scattering . . . . . . . . . . . . . . . . 374

    Stress Factors for Mobility Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376Using Mobility Degradation Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 376

    Remote Coulomb Scattering Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378Stress Factors for Mobility Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380

    Remote Phonon Scattering Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380Stress Factors for Mobility Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 381

    Computing Transverse Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 381Normal to Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382Normal to Current Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 382Field Correction on Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383

    Thin-Layer Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383Using the Thin-Layer Mobility Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385

    Physical Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385Stress Factors for Mobility Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 387Auto-Orientation and Named Parameter Sets . . . . . . . . . . . . . . . . . . . . . . . . . . . 387Geometric Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 388

    High-Field Saturation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 388Using High-Field Saturation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389

    Named Parameter Sets for High-Field Saturation . . . . . . . . . . . . . . . . . . . . . . . . 389

    xiv Sentaurus™ Device User GuideK-2015.06

  • Contents

    Auto-Orientation for High-Field Saturation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390Extended Canali Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390Transferred Electron Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 391Transferred Electron Model 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 392Basic Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394Meinerzhagen–Engl Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394Physical Model Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395Lucent Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395Velocity Saturation Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396

    Selecting Velocity Saturation Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396Driving Force Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396

    Electric Field Parallel to the Current . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397Gradient of Quasi-Fermi Potential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397Electric Field Parallel to the Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 398Hydrodynamic Driving Force. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399Electric Field. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400Interpolation of Driving Forces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400Field Correction Close to Interfaces. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 401

    Non-Einstein Diffusivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402Monte Carlo–computed Mobility for Strained Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . 403Monte Carlo–computed Mobility for Strained SiGe in npn-SiGe HBTs . . . . . . . . . . . . 404Incomplete Ionization–dependent Mobility Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . 404Poole–Frenkel Mobility (Organic Material Mobility) . . . . . . . . . . . . . . . . . . . . . . . . . . 405Mobility Averaging. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 406Mobility Doping File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 407Effective Mobility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 407

    EffectiveMobility PMI Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 409Using the EffectiveMobility PMI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 409

    References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 411

    Chapter 16 Generation–Recombination 415

    Shockley–Read–Hall Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 415Using SRH Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 416SRH Doping Dependence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 417Lifetime Profiles From Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 417SRH Temperature Dependence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 418SRH Doping- and Temperature-dependent Parameters. . . . . . . . . . . . . . . . . . . . . . . 419SRH Field Enhancement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 419

    Using Field Enhancement. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 420Schenk Trap-assisted Tunneling (TAT) Model . . . . . . . . . . . . . . . . . . . . . . . . . . 420Schenk TAT Density Correction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422

    Sentaurus™ Device User Guide xvK-2015.06

  • Contents

    Hurkx TAT Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422Dynamic Nonlocal Path Trap-assisted Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . 423

    Recombination Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 424Using Dynamic Nonlocal Path TAT Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 425

    Trap-assisted Auger Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 427Surface SRH Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 428Coupled Defect Level (CDL) Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429

    Using CDL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429CDL Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 430

    Radiative Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431Using Radiative Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431Radiative Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431

    Auger Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 432Constant Carrier Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 433Avalanche Generation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434

    Using Avalanche Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434van Overstraeten – de Man Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 435Okuto–Crowell Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 436Lackner Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437University of Bologna Impact Ionization Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . 438New University of Bologna Impact Ionization Model . . . . . . . . . . . . . . . . . . . . . . . 440Hatakeyama Avalanche Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442

    Driving Force . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 443Anisotropic Coordinate System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 444Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 444

    Driving Force. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 445Avalanche Generation With Hydrodynamic Transport . . . . . . . . . . . . . . . . . . . . . . . 445

    Approximate Breakdown Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 446Using Breakdown Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 447Approximate Breakdown Analysis With Carriers . . . . . . . . . . . . . . . . . . . . . . . . . . . 448

    Band-to-Band Tunneling Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 449Using Band-to-Band Tunneling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 449Schenk Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 451

    Schenk Density Correction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 452Simple Band-to-Band Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 452Hurkx Band-to-Band Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 453Tunneling Near Interfaces and Equilibrium Regions . . . . . . . . . . . . . . . . . . . . . . . . 454Dynamic Nonlocal Path Band-to-Band Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 454

    Band-to-Band Generation Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 455Using Nonlocal Path Band-to-Band Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 458Visualizing Nonlocal Band-to-Band Generation Rate . . . . . . . . . . . . . . . . . . . . . 460

    xvi Sentaurus™ Device User GuideK-2015.06

  • Contents

    Bimolecular Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460Physical Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 460Using Bimolecular Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 461

    Exciton Dissociation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 461Physical Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 461Using Exciton Dissociation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 462

    References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 462

    Chapter 17 Traps and Fixed Charges 465

    Basic Syntax for Traps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 465Trap Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466Energetic and Spatial Distribution of Traps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466

    Specifying Single Traps. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 469Trap Randomization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 470

    Trap Models and Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471Trap Occupation Dynamics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471Local Trap Capture and Emission . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473

    J-Model Cross Sections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474Hurkx Model for Cross Sections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474Poole–Frenkel Model for Cross Sections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474Local Capture and Emission Rates Based on Makram-Ebeid–Lannoo

    Phonon-assisted Tunnel Ionization Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 475Local Capture and Emission Rates From PMI . . . . . . . . . . . . . . . . . . . . . . . . . . . 476

    Trap-to-Trap Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 476Tunneling and Traps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 478

    Trap Numeric Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 480Visualizing Traps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 480Explicit Trap Occupation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482Trap Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483Insulator Fixed Charges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 485

    Chapter 18 Phase and State Transitions 487

    Multistate Configurations and Their Dynamic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 487Specifying Multistate Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 489Transition Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 490

    The pmi_ce_msc Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 490States. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 491Transitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 492Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494

    Sentaurus™ Device User Guide xviiK-2015.06

  • Contents

    Interaction of Multistate Configurations With Transport . . . . . . . . . . . . . . . . . . . . . . . . 497Apparent Band-Edge Shift. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497

    The pmi_msc_abes Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 498Thermal Conductivity, Heat Capacity, and Mobility . . . . . . . . . . . . . . . . . . . . . . . . 499

    Manipulating MSCs During Solve . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 499Explicit State Occupations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 499Manipulating Transition Dynamics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 500

    Example: Two-State Phase-Change Memory Model . . . . . . . . . . . . . . . . . . . . . . . . . . . 501References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 502

    Chapter 19 Degradation Model 503

    Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 503Trap Degradation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504

    Trap Formation Kinetics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504Power Law and Kinetic Equation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504Si-H Density–dependent Activation Energy . . . . . . . . . . . . . . . . . . . . . . . . . . . . 505Diffusion of Hydrogen in Oxide. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 505

    Syntax and Parameterized Equations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 506Device Lifetime and Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508Degradation in Insulators. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 511

    MSC–Hydrogen Transport Degradation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 512Hydrogen Transport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 513Reactions Between Mobile Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 514Reactions With Multistate Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 516The CEModel_Depassivation Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518Using MSC–Hydrogen Transport Degradation Model . . . . . . . . . . . . . . . . . . . . . . . 520

    Two-Stage NBTI Degradation Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 521Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 522Using Two-Stage NBTI Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 524

    Hot-Carrier Stress Degradation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526Model Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526

    Single-Particle and Multiple-Particle Interface-Trap Densities . . . . . . . . . . . . . . 526Field-enhanced Thermal Degradation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 528Carrier Distribution Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 529Bond Dispersion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530

    Using the HCS Degradation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 533

    xviii Sentaurus™ Device User GuideK-2015.06

  • Contents

    Chapter 20 Organic Devices 535

    Introduction to Organic Device Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 536

    Chapter 21 Optical Generation 539

    Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 539Specifying the Type of Optical Generation Computation . . . . . . . . . . . . . . . . . . . . . . . 540

    Optical Generation From Monochromatic Source. . . . . . . . . . . . . . . . . . . . . . . . . . . 542Illumination Spectrum . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 542

    Multidimensional Illumination Spectra . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 543Enhanced Spectrum Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 544

    Loading and Saving Optical Generation From and to File . . . . . . . . . . . . . . . . . . . . 547Constant Optical Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 548Quantum Yield Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 549

    Optical Absorption Heat . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 550Specifying Time Dependency for Transient Simulations . . . . . . . . . . . . . . . . . . . . . 552

    Solving the Optical Problem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 555Specifying the Optical Solver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 556

    Transfer Matrix Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 556Finite-Difference Time-Domain Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 556Raytracing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 558Beam Propagation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 560Loading Solution of Optical Problem From File . . . . . . . . . . . . . . . . . . . . . . . . . 560Optical Beam Absorption Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 561

    Setting the Excitation Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 561Illumination Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 562Spatial Intensity Function Excitation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 567

    Choosing Refractive Index Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 569Extracting the Layer Stack. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 569Controlling Computation of Optical Problem in Solve Section . . . . . . . . . . . . . . . . 571

    Parameter Ramping. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 572Complex Refractive Index Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 574

    Physical Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 574Wavelength Dependency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 575Temperature Dependency. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 575Carrier Dependency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 576Gain Dependency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 577

    Using Complex Refractive Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 577Complex Refractive Index Model Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582

    C++ Application Programming Interface (API). . . . . . . . . . . . . . . . . . . . . . . . . . 583

    Sentaurus™ Device User Guide xixK-2015.06

  • Contents

    Shared Object Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 588Command File of Sentaurus Device. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 588

    Raytracing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 589Raytracer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 589Ray Photon Absorption and Optical Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 592Using the Raytracer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 593Terminating Raytracing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 594Monte Carlo Raytracing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 594Multithreading for Raytracer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 595Compact Memory Model for Raytracer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 596Window of Starting Rays. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 596

    User-Defined Window of Rays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 597Distribution Window of Rays. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 597

    Boundary Condition for Raytracing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 599Fresnel Boundary Condition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 600Constant Reflectivity and Transmittivity Boundary Condition . . . . . . . . . . . . . . 600Raytrace PMI Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 601Thin-Layer-Stack Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 602TMM Optical Generation in Raytracer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 603Diffuse Surface Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 605Periodic Boundary Condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 607

    Virtual Regions in Raytracer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 608External Material in Raytracer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 609Additional Options for Raytracing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 609Redistributing Power of Stopped Rays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 610Weighted Interpolation for Raytrace Optical Generation . . . . . . . . . . . . . . . . . . . . . 610Visualizing Raytracing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 611Reporting Various Powers in Raytracing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 611Plotting Interface Flux . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 612Far Field and Sensors for Raytracing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 614Dual-Grid Setup for Raytracing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 617

    Transfer Matrix Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 619Physical Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 619

    Rough Surface Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 621Using Transfer Matrix Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 624

    Using Scattering Solver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 626Loading Solution of Optical Problem From File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 634

    Importing 1D Profiles Into Higher-dimensional Grids . . . . . . . . . . . . . . . . . . . . . . . 636Ramping Profile Index. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 637

    Optical Beam Absorption Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 638Physical Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 638

    xx Sentaurus™ Device User GuideK-2015.06

  • Contents

    Using Optical Beam Absorption Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 639Beam Propagation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 640

    Physical Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 641Bidirectional BPM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 641Boundary Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 642

    Using Beam Propagation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 642General . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 642Bidirectional BPM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 644Excitation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 644Boundary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 647Ramping Input Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 648Visualizing Results on Native Tensor Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 648

    Controlling Interpolation When Loading Optical Generation Profiles. . . . . . . . . . . . . . 649Optical AC Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 652References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 653

    Chapter 22 Radiation Models 655

    Generation by Gamma Radiation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 655Using Gamma Radiation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 655Yield Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 656

    Alpha Particles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 656Using Alpha Particle Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 656Alpha Particle Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 657

    Heavy Ions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 658Using Heavy Ion Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 658Heavy Ion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 659Examples: Heavy Ions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 661

    Example 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 661Example 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 662Example 3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 662

    Improved Alpha Particle/Heavy Ion Generation Rate Integration . . . . . . . . . . . . . . . . . 663References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 664

    Chapter 23 Noise, Fluctuations, and Sensitivity 665

    Using the Impedance Field Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 665Specifying Variations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 666Specifying the Solver. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 667Analysis at Frequency Zero . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 667Output of Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 668

    Noise Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 670

    Sentaurus™ Device User Guide xxiK-2015.06

  • Contents

    Common Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 670Diffusion Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 671Equivalent Monopolar Generation–Recombination Noise . . . . . . . . . . . . . . . . . . . . 672Bulk Flicker Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 672Trapping Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .