57
SEMATECH’s 6 th Annual Mask Cleaning Workshop Monterey, California September 14 th , 2009 PART 1 (of 4)

SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

  • Upload
    hadat

  • View
    215

  • Download
    3

Embed Size (px)

Citation preview

Page 1: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

SEMATECH’s 6th Annual Mask Cleaning Workshop

Monterey, California

September 14th , 2009

PART 1 (of 4)

Page 2: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

Presentations in this document

Title

Presenter

KEYNOTE: Cleaning of EUV Mask During Manufacturing and After Usage

J.H. Peters, AMTC

Critical Issues and Progress in EUV Mask Cleaning

T. Shimomura, DNP

Fighting HVM EUV Mask Micro-Contamination How Big of a Threat is it to Mask Lifetime?

A. Sengupta, Intel

Composition and Topography of Carbon Contamination on Patterned EUV Masks

Y.J. Fan, State University of New York at Albany

Page 3: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

Forward SEMATECH's 2009 Mask Cleaning Workshop, “Emerging Technologies in Photomask Cleaning & Topics of Relevance to Photomask Contamination Removal,” provided a forum for SEMATECH members, mask and wafer cleaning suppliers, and researchers to discuss advancements in technologies and solutions applicable to advanced photomask cleaning and surface preparation challenges. The scope of this full-day workshop encompassed sub-30 nm particle removal, molecular contamination removal, mask inspection, defect analysis, and environmental approaches to photomask cleaning. In 2009, many chip manufacturers actively worked on EUV lithography, and five preproduction EUV exposure tools were ordered, resulting in a considerable increase in demand for preproduction EUV blanks and masks. These activities imply that defect-free EUV masks must be available. This year's workshop therefore concentrated on EUV mask cleaning technology. EUV mask cleaning has presented new challenges for mask manufacturers, including new materials, oxidation of the Ru capping layer, EUV reflectivity loss, sub-50 nm particle removal, new inspection capability requirements, and transport/handling issues related to the absence of a pellicle. The first part of the workshop focused on introducing EUV-specific cleaning challenges. Real field data were provided by Jan Hendrik Peters, R&D manager for future mask development at AMTC, Dresden. Carbon contamination issues, progressive defects, stability of the capping layer, limitations in substrate roughness, and mask defect inspection challenges were discussed in detail. The second part of the workshop presented recent results in particle removal and cleaning of EUV masks and blanks. Ultrapure water (UPW), CO2 cryogenic cleaning, and nano-imprint template cleaning were among the topics covered in this year’s workshop. In summary, carbon contamination will be an issue in long-term exposure of EUV masks, which will change critical dimensions (CD) and cause a drop in EUV reflectivity. Mask cleaning processes are able to remove carbon contamination without damaging patterns. However, the stability and lifetime of the Ru capping layer remain an issue. Surface conditioning by vacuum ultraviolet (VUV) exposure during cleaning, including cleaning with ozonated water chemistries, will oxidize the Ru capping layer, effecting a drop in EUV reflectivity of a few percent. Particulate contaminants less than 30 nm can barely be removed from the surface of EUV masks, even with aggressive megasonic cleaning. Finally, reducing defects added by cleaning processes remains a challenge. This workshop ended with a fruitful discussion, mediated by Henry Yun, a SEMATECH Intel assignee, among different participants from mask shops, clean tool suppliers, and university researchers.

SEMATECH's 6th Annual Mask Cleaning Workshop 3

September 14, 2009 www.sematech.org

Page 4: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

This year participants were almost equally divided among clean tool suppliers, maskshops, R&D groups, and other suppliers (e.g., metrology, tool component, UPW, glass).

Clean Tool Suppliers

25%

Other Suppliers24%Captive Maskshops

21%

Commercial Maskshops

6%

University Researchers

9%

Consortia15%

We hope that you enjoyed this year’s workshop and look forward to seeing you again at next year’s. Abbas Rastegar, Brian Cha SEMATECH- Albany September 2009 2009 Technical committee Brian Cha, Samsung , SEMATECH Sean Eichenlaub, SEMATECH Arun John Kadaksham, SEMATECH Abbas Rastegar, SEMATECH Archita Sengupta, Intel Henry Yun, Intel, SEMATECH 2009 Keynote speaker

Jan Hendrik Peters studied physics at the University of Hamburg and the University of Washington in Seattle, receiving his PhD in particle physics in 1990. Until 2003, he worked at the DESY research center in Hamburg, first as head of the user support group in the IT department and later as deputy director for technical infrastructure. After receiving an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as R&D Manager for future mask development at AMTC, which included 157 nm, 193 immersion lithography, and double patterning, as well as EUV technologies. He has led several nationally and internationally funded projects in these fields.

SEMATECH's 6th Annual Mask Cleaning Workshop 4

September 14, 2009 www.sematech.org

Page 5: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

Agenda

8:00 AM – 8:30 AM

Breakfast

8:30 AM – 8:40 AM

Welcome

A. Rastegar/B. Cha, SEMATECH

8:40 AM – 9:20 AM

KEYNOTE: Cleaning of EUV Mask During Manufacturing and After Usage

J.H. Peters, AMTC

9:20 AM – 9:40 AM

Critical Issues and Progress in EUV Mask Cleaning

T. Shimomura, DNP

9:40 AM – 10:00 AM

Fighting HVM EUV Mask Micro-Contamination How Big of a Threat is it to Mask Lifetime?

A. Sengupta, Intel

10:00 AM – 10:20 AM

Break

10:20 AM – 10:40 AM

Composition and Topography of Carbon Contamination on Patterned EUV Masks

Y.J. Fan, State University of New York at Albany

10:40 AM – 11:00 AM

Influence of Capping Layer Deterioration on Printing Performance, as Estimated by Simulation

R. Jonckheere, IMEC

11:00 AM – 11:20 AM

Surface Roughness Requirements for EUV Masks and Substrates “A New Mask Cleaning Challenge”

P. Naulleau, Lawrence Berkeley National Laboratory

11:20 AM – 11:40 AM

Particle Removal Challenges in Patterned EUV Masks

S. Eichenlaub, SEMATECH

11:40 AM – 12:00 PM

Defect Inspection Strategies for EUV Substrate, Blank, and Patterned Masks

D. Chan, SEMATECH

12:00 PM – 1:00 PM

Lunch

1:00 PM – 1:20 PM

Progress in EUV Mask Blank and Substrate Cleaning

A. Rastegar, SEMATECH

1:20 PM – 1:40 PM

Production of High Purity Functional Water at Point of Use for Advanced Mask Cleaning Processes

A. Xia, Entegris, Inc.

SEMATECH's 6th Annual Mask Cleaning Workshop 5

September 14, 2009 www.sematech.org

Page 6: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

1:40 PM – 2:00 PM

An Advanced Method to Condition and Clean Photomask Surfaces Without Damage

S. Singh, HamaTech USA Inc.

2:00 PM – 2:20 PM

Advances in CO2 Cryogenic Technology for Photomask Post AFM Repair

Ivin Varghese, Eco-Snow Systems

2:20 PM – 2:40 PM

Defect Removal: A Key Enabler for Nano Imprint Lithography at Advanced Technology Nodes

S. Chen, HamaTech USA Inc.

2:40 PM - 2:50 PM

Break

2:50 PM – 3:40 PM

Open Discussion

Moderator: Henry Yun, SEMATECH

3:40 PM – 4:40 PM

Adjourn and Networking Reception

A. Rastegar/B. Cha, SEMATECH

SEMATECH's 6th Annual Mask Cleaning Workshop 6

September 14, 2009 www.sematech.org

Page 7: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

1

Cleaning of EUV Masks During Manufacturing and After Usage

Jan Hendrik Peters Advance Mask Technology Center (AMTC)

6th Annual Mask Cleaning WorkshopSeptember 14, 2009

26th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Outline

EUV Materials in useCleaning Steps in the Manufacturing Flow

Blank preparation before resist coatPattern cleaning

Carbon contamination removalParticle removal after usage (re-cleaning)Summary

SEMATECH's 6th Annual Mask Cleaning Workshop 7

September 14, 2009 www.sematech.org

Page 8: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

2

36th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

What is special about EUV masks?

Cleaning processes are tuned for high-end optical masks (patterned)Usually a material combination of Cr, MoSi, QzHighest cleaning removal efficiency without structure breakageNo ESD or EFM damagesNo removal of repair depositionsSeveral cleaning cycles may not change optical performance parametersAvoidance of any haze stimulating substances

EUV masks during manufacturing flow need similar boundary conditionOn EUV we have Ru or Si capping, and lots of TaN variants, with or without different buffer materials, Cr on the backsideSame demand on negligible impact and highest efficiency In addition a spec’d backside particle clean is required

soft process

46th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

EUV Materials Studied

Blank materials with bufferSchott – TaN/SiO2/Si/ML/Substr/CrNHoya – TaBN/CrN/Si/Substr/CrN

Blank materials used w/o bufferAsahi – TaN/Ru/ML/Substr/CrNHoya – TaBN/Ru/ML/Substr/CrN

Absorber(Buffer)Capping

BS-coating

Substrate

Resist

Multilayer

SEMATECH's 6th Annual Mask Cleaning Workshop 8

September 14, 2009 www.sematech.org

Page 9: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

3

Cleaning Steps in the Manufacturing Flow

66th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

EUV Mask Cleaning

The two focus areas

Ship a clean mask after manufacturingNo particle contamination according to spec (currently inspection tool limited)No organic contamination that might interact with exposure environment (“EUV haze” avoidance – currently not yet know what could all happen)

Ship a clean (re-cleaned) mask after usage in exposure toolRemove carbon contamination Remove particles(during the learning phase) remove handling traces

SEMATECH's 6th Annual Mask Cleaning Workshop 9

September 14, 2009 www.sematech.org

Page 10: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

4

76th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

EUV Mask Manufacturing Flow

Resist coating stepCleaningBlank inspectionResist coating

Mask patterning stepWrite, bake, develop, etchResist StripMetrology (CD, REG, …)Cleaning Defect inspection, repair, …CleaningShipping

(Quality of blank)

(Quality of mask)

86th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

EUV Cleaning Checklist

Blank: All particles removed ? Blank inspection system (DF40XP, sensitivity ~40nm)

Mask: All particles removed ? No structure damaged?Pattern inspection system • KLA 587, sensitivity ~40nm• NPI5000+, sensitivity ~30nm

Reflectivity unchanged ?Actinic scanning

SEMATECH's 6th Annual Mask Cleaning Workshop 10

September 14, 2009 www.sematech.org

Page 11: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

5

96th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Blank Cleaning before Resist Coating

Cleaning of blank front and backside side before resist coatingDominated by hard/embedded blank defects not particle contamination limited cleaning impact

Typical number blanks defects between 40 and 140 for productiveblanks => 0.2 to 0.6 defects/cm²

Engineering gradeblank defect map front and backside

106th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Cleaning Development for EUV

Strip/clean process originally developed for Schott material (2005)Goals – preservation of reflectance uniformity, removal of particles and handling contamination during experimental handling

aggressive processbut

Cleaning does not affect reflectivity uniformity nor central valueΔR ~ <0.1% per cleaning cycle

pre-clean

post-clean1

post-clean2

N.B.: older EUV material

SEMATECH's 6th Annual Mask Cleaning Workshop 11

September 14, 2009 www.sematech.org

Page 12: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

6

116th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Cleaning Development for EUV

Since beginning 2008 EUV die-to-die pattern inspections are availablesporadically large ring shape contamination appear

Contamination seen in corners of structures and connecting lines

die-to-die inspection

SEM image

Affected materials:Schott and Asahi

126th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Wafer print results on contamination

Reticle SEM Wafer SEM

A. Tchikoulaeva, et.al.EUVL 2008

Contaminations within ring structures

All printable

SEMATECH's 6th Annual Mask Cleaning Workshop 12

September 14, 2009 www.sematech.org

Page 13: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

7

136th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Cleaning Development for EUV

Strip/clean identified as root cause

Modified strip/clean process implemented on Hoya materialSame clean and strip tools used as for Schott materialContamination level reduced by 90% (w.r.t. old Schott clean process)

But Asahi material still affected (reduction only 50%)Material dependency stronger than expected

New strip/clean process developedDifferent tool set – process for high end 193 masks as starting pointContamination level okResidual level now 1% (w.r.t. old Schott clean process)

Remaining defects are mostly blank defects

=> 0.7 defects/cm² @ 40nm sensitivity

Cleaning Signatures

Sporadic material dependent excursionMethods that helped to solve issue

SEMATECH's 6th Annual Mask Cleaning Workshop 13

September 14, 2009 www.sematech.org

Page 14: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

8

156th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

What was the problem?

StatusStrong mask material dependencyHypothesis: absorber material partially washed out

Analysis approachAFM scan

To determine topographyMerit 45 (e-beam repair tool)

To distinguish different materialsimaging w/ backscattered electrons (BSE)local etch behaviour of contamination

166th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Defect AFM Scan

~150nm

~20nm

~150nm

~20nmInspection image

AFM scan

SEM image

Courtesy: AMD/Globalfoundries

SEMATECH's 6th Annual Mask Cleaning Workshop 14

September 14, 2009 www.sematech.org

Page 15: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

9

176th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Defect AFM Scan

~30nm~30nmInspection image

AFM scan

SEM image

Courtesy: AMD/Globalfoundries

186th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Defect Etch ExperimentBefore MeRiT Etch After MeRiT Etch

SEM

Imag

eB

SE Im

age

Circular etch area

SEMATECH's 6th Annual Mask Cleaning Workshop 15

September 14, 2009 www.sematech.org

Page 16: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

10

196th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Defect Etch Experimentcontamination found only in clear areas (not on absorber)etch attacks the contamination on the surface (SEM)no etch through down to Ru-Cap surface (no material contrast in BSE)no etching on Ru-Cap referenceabsorber reference shows strong etchingMostly absorber material

124

126

128

130

132

134

136

138

140

142

0 500 1000 1500 2000 2500

138

140

142

144

146

148

150

0 500 1000 1500 2000 2500

112

114

116

118

120

122

124

126

128

130

0 500 1000 1500 2000 2500

206th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

The Detection Problem (!)

Sensitivity of pattern inspection is limited, ifdense structure are too small to generate enough contrast

Small defects in large structures no problemLarge defects in small structures rarely found

32nm

16nm

Blank KLANPI Blank KLA–

NPI–

Are you sure you find what you are looking for ?

Note: both defects shown are blank defects

SEMATECH's 6th Annual Mask Cleaning Workshop 16

September 14, 2009 www.sematech.org

Page 17: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

11

Carbon Contamination during Usage

Experimental study of effect of carbon deposition and cleaning

U. Okoroanyanwu, et.al, EUV Reticle Contamination and Cleaning, EUVL Symposium 2008, Lake Tahoe, Sep 2008

226th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Carbon Contamination experiment

Mask fabrication at AMTCContamination with MIMICS tool at SUNYAerial image on AIT in BerkeleyCleaning at AMTCAerial image on AIT in Berkeley

Carbon deposit completely removedUsing standard EUV clean

SEMATECH's 6th Annual Mask Cleaning Workshop 17

September 14, 2009 www.sematech.org

Page 18: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

12

236th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

−Optical images before and after cleaning

before clean after clean

EUV Mask Cleaning

Clip of cleaned sub-fieldClip of cleaned sub-field

246th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

−Control and highly contaminated fields, after mask clean− No significant loss of contrast

Analysis of AIT Images after Mask Cleaning

U. Okoroanyanwu, et.al, EUV Reticle Contamination and Cleaning, EUVL Symposium 2008, Lake Tahoe, Sep 2008

36nm control – 80% 36nm high contam. – 79%

40nm control – 81% 40nm high contam. – 80%

Cleanedcontrol

CleanedContaminated

area

AIT = aerial image tool

36nm control – 80% 36nm high contam. – 79%

40nm control – 81% 40nm high contam. – 80%

Cleanedcontrol

CleanedContaminated

area

AIT = aerial image tool

36nm control – 80% 36nm high contam. – 79%

40nm control – 81% 40nm high contam. – 80%

Cleanedcontrol

CleanedContaminated

area

AIT = aerial image tool

SEMATECH's 6th Annual Mask Cleaning Workshop 18

September 14, 2009 www.sematech.org

Page 19: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

13

Re-Cleaning of used Masks

Masks returned for re-cleaning after experiments outside clean roomsafter manual handlingafter being used in exposure tools

266th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Standard EUV Mask Cleaning Flow

Mask Re-Cleaning stepIncoming visual inspectionCleaningOutgoing visual inspection

(Status of mask)

SEMATECH's 6th Annual Mask Cleaning Workshop 19

September 14, 2009 www.sematech.org

Page 20: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

14

276th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Incoming visual inspection (after manual handling)

finger prints

particles

smudgesbox damages

Pattern side backside

286th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Outgoing visual inspection

few areas on backsidenot removed

pattern side properly cleaned

Pattern side backside

SEMATECH's 6th Annual Mask Cleaning Workshop 20

September 14, 2009 www.sematech.org

Page 21: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

15

296th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Heavily used plate

Corner damage on the front side.Backside heavily contaminated and partially damaged

Pattern side Backside

306th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Damages at the Front Side Corners

The defects at the corners of the plates could not be removed by any method applied

Standard EUV cleanAggressive corner cleanLocal clean of the mask edges with acetonePlasma ashing

Optical microscope and SEM images hint at a shallow damage of the absorberEDX data non conclusive

Optical microscope image

SEM image

SEMATECH's 6th Annual Mask Cleaning Workshop 21

September 14, 2009 www.sematech.org

Page 22: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

16

316th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Contamination on the Backside

Regular backside clean Global particle contamination successfully removedSome spots remain

Strong light scattering and even visible in standard clean room light

Height distribution checked with AFM

326th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Contamination Example 1

Particle and substrate damage:Height above substrate: ~ 650nmScratch lateral size*: ~ 6.7μmScratch depth: ~ 1 μm

* see marker on the raw data

Camera view

Scan line raw data

Scan line 3D view

SEMATECH's 6th Annual Mask Cleaning Workshop 22

September 14, 2009 www.sematech.org

Page 23: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

17

336th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Contamination Example 2

Substrate damage:Damage depth: ~ 90nmDamage lateral size*: ~ 10μm

* see marker on the raw data

Camera view

Scan line raw data

Scan line 3D view

346th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Contamination – Cleaning Success

Front side corners show damages that cannot be removed Locations coincide with handling and transport support pointsThese locations need to be re-checked once transport and standard handling path use the new dual pods consistently

Back side shows certain damages that cannot be removedSevere damages that reach well into the substrateAlso here the handling path should be re-checked

Front and back side particle contamination can always be removedThe earlier contamination is cleaned away the easier it isOld particle contamination is far more sticky and requires quite aggressive cleaning recipes to be used

SEMATECH's 6th Annual Mask Cleaning Workshop 23

September 14, 2009 www.sematech.org

Page 24: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

18

356th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Summary

Cleaning required in manufacturing flow for different purposesBlank preparationPattern cleaningRemoval of usage induced contamination

Material response to cleaning recipes stronger than expected

Contamination resulting from usage can be successfully removedCarbon deposits, particles on front and backside

During current learning phase non-removable damages foundNeeds to re-checked once dual pods are in proper use

Contamination level on final mask dominated by blank defectsDetection gap for defects in small structures

366th Annual Mask Cleaning Workshop, Monterey, September 14, 2009

Acknowledgements

We appreciate very much the discussions with our colleagues fromAMTC, Toppan, IMEC, GLOBALFOUNDRIES, ASML, which led to the results shown in this presentation.

AMTC is a joint venture of AMD and Toppan Photomasks, and gratefully acknowledges the financial support

by the German Federal Ministry of Education and Research BMBF under contract numbers 13N9675 (ANTARES).

SEMATECH's 6th Annual Mask Cleaning Workshop 24

September 14, 2009 www.sematech.org

Page 25: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

1

Sematech - 6th Annual Mask Cleaning Workshop 1

50 nm particle removal from EUV mask blank using standard wet clean

Takeya Shimomura1 and Ted Liang2

Dai Nippon Printing Co., Ltd.1Intel Corporation2

Sematech - 6th Annual Mask Cleaning Workshop 2

Outline

IntroductionExperimentExperimental results

Cleaning performanceParticle removal efficiencyCleaning process adders

Adders characterizationSizeComposition

Identification of main source of addersSegmentation tests

Adders mitigation

Summary

#1 issue to extend current SPM based cleaning process

SEMATECH's 6th Annual Mask Cleaning Workshop 25

September 14, 2009 www.sematech.org

Page 26: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

2

Sematech - 6th Annual Mask Cleaning Workshop 3

Introduction

Readiness of defect free EUV mask supply is one of critical challenges

EUV mask defect requirement (2008 ITRS)

Cleaning process must be capable of cleaning 25 nm soft defect for 32 nm HP and beyond

In this presentation

Cleaning performance of ~ 50 nm defect on EUV mask blanks

2013201220112010Year of Production

25293236Defect size (nm)32364045DRAM HP (nm)

Sematech - 6th Annual Mask Cleaning Workshop 4

Experiment

Evaluation test samplesRu-capped EUV ML blanks without deposition of absorber

Cleaning toolWet cleaning toolStandard SPM and SC1 chemicals

Inspection toolsTwo EUV blank inspection tools

Lasertec M1350 and M7360

Metrology toolsAFM, SEM and AES for adders characterization

SEMATECH's 6th Annual Mask Cleaning Workshop 26

September 14, 2009 www.sematech.org

Page 27: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

3

Sematech - 6th Annual Mask Cleaning Workshop 5

Two EUV blank inspection tools

~ 80nm Sensitivity ~ 50nm Sensitivity

Sematech - 6th Annual Mask Cleaning Workshop 6

Cleaning performance - PRE

Almost all particles removed (>80 nm)PRE = 97% PRE = 99%

M1350Added handling particles

(Before clean)

Remained handling particles

(After clean)

M7360Added handling particles

(Before clean)

Remained handling particles

(After clean)

Almost all particles removed (> 50nm)

Current POR process capable of removing 50 nm particles

* Below pixel 6 are removed out due to below 100% capture rate

SEMATECH's 6th Annual Mask Cleaning Workshop 27

September 14, 2009 www.sematech.org

Page 28: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

4

Sematech - 6th Annual Mask Cleaning Workshop 7

Cleaning performance - Adders

1 adder (> 80nm) 65 adders (> 50nm)

M1350 M7360Adder map Adder map

Identify the process adders <80 nm as critical issue to extend SPM-SC1 based process to further small particle cleaning

Sematech - 6th Annual Mask Cleaning Workshop 8

Adders characterization - Flow

Chose 10 of 65 adders

Review image Marking

AFM

24

19

114337

246

502365

565222

272

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru

O SiRuSi

AES

SEMATECH's 6th Annual Mask Cleaning Workshop 28

September 14, 2009 www.sematech.org

Page 29: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

5

Sematech - 6th Annual Mask Cleaning Workshop 9

0.0E+00

5.0E+03

1.0E+04

1.5E+04

2.0E+04

2.5E+04

3.0E+04

0 10 20 30 40 50 60Height (nm)

Area

(nm

^2)

Tiny particle defects

Thin flat defects

50nm SEVD

80nm SEVD

Adders characterization - Size

-505

101520

0 0.5 1(μm)

Hei

ght (

nm)

-505

101520

0 0.5 1(μm)

Hei

ght (

nm)

Defect #24

Pixel 7

Defect #222

Pixel 12

Two categories : Thin flat type 2D-like adder and tiny particle type 3D-like adder

3D-like defects seems to be the majority of adders

(4/3) x π x (SEVD/2)3

=(Area) x (Height)

Sematech - 6th Annual Mask Cleaning Workshop 10

Adders characterization - Composition

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru & C

OSi

RuSi

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru & C

Ti O Si

RuSi

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru & C

O Si

RuSi

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru

O SiRuSi

ReferenceDefect

C, Ru, Si, O Ru, Si, O Ti, Ru, Si, O, C Ru, Si, O, C

ReferenceDefect

3D-like adder2D-like adder

2D-like adders are C-containing, while 3D-like adders have metallic element such as Ti, Ta and Cr

SEMATECH's 6th Annual Mask Cleaning Workshop 29

September 14, 2009 www.sematech.org

Page 30: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

6

Sematech - 6th Annual Mask Cleaning Workshop 11

Summary – Cleaning performance and adders property

Current POR process capable of cleaning 50 nm particles without adders (> 80nm)Cleaning process adders (< 80nm) is No.1 issueTiny particle defect (< 80nm) seems to be the majority of addersThese defects have metallic composition such as Ti, Cr and Ta

Next step : Identify main source of adders

Sematech - 6th Annual Mask Cleaning Workshop 12

Segmentation test

SPM step Hot water step SC1 step

29 adders 10 adders1148 adders

Divided the cleaning process into 3 main chemical steps

SPM chemical is likely main source of adders

Adder map from each chemical step

SEMATECH's 6th Annual Mask Cleaning Workshop 30

September 14, 2009 www.sematech.org

Page 31: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

7

Sematech - 6th Annual Mask Cleaning Workshop 13

Composition analysis : Adders from SPM

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru

RuON Si

Ru

Si

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru

RuON Si

Ru

Si

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru

RuON Si

Ru

Si Ti

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru

RuON Si

Ru

Si Al

ReferenceDefect

Al, Ru, Si, O, N Ru, Si, O, N Ti, Ru, Si, O, N Ru, Si, O, N

ReferenceDefect

Metal composition : Correspond to the 3D-like adders from POR cleaning process

Sematech - 6th Annual Mask Cleaning Workshop 14

Composition analysis - Adders from Hot water and SC1

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru

Ru ON

Si

RuSi

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru

Ru ON

Si

RuSi

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru

Ru ON

Si

RuSi

0 400 800 1200 1600 2000Kinetic Energy (eV)

Ru

Ru

Ru ON

Si

RuSi

ReferenceDefect

Ru, Si, O, N Ru, Si, O, N Ru, Si, O, N Ru, Si, O, N

ReferenceDefect

Adder from Hot water Adder from SC1

No difference between on and off defect location : Too small to get composition data

SEMATECH's 6th Annual Mask Cleaning Workshop 31

September 14, 2009 www.sematech.org

Page 32: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

8

Sematech - 6th Annual Mask Cleaning Workshop 15

Summary - Main source of adders

Segmentation testSPM chemical step add the most defectsSC1 and Hot water do not add many defects

Composition analysis The adders from SPM chemical have metallic

compositions

SPM chemical step is main source of adders

Next step : Mitigation of adders

Sematech - 6th Annual Mask Cleaning Workshop 16

Strategy for adder mitigation

Our approaches to address the adders

1. Removal of particles from SPM chemical by filtration2. Prevention of particles from adhering to the surface from SPM

chemical 3. Removal of the added particle by the subsequent SC1 steps

Extremely difficult to remove all of particles from SPM chemical by filtration, particularly for small particles

Evaluated many difference processes within the standard SPM + SC1 cleaning regime

SEMATECH's 6th Annual Mask Cleaning Workshop 32

September 14, 2009 www.sematech.org

Page 33: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

9

Sematech - 6th Annual Mask Cleaning Workshop 17

Improvement

Current POR Improved process

It is possible to extend SPM based processes down to 50nm defects

M7360 M7360

65 adders (> 50nm) 3 adders (> 50nm)

Sematech - 6th Annual Mask Cleaning Workshop 18

Summary

Current cleaning processCapable of cleaning 50nm particles with no adders (> 80nm)About 60 adders < 80 nm observed

Identified the process adders (< 80nm) being critical issue to extend SPM-SC1 base cleaning process

Determined SPM step is main source of adders

Demonstrated that adders in single digit (> 50nm) can be achieved

SEMATECH's 6th Annual Mask Cleaning Workshop 33

September 14, 2009 www.sematech.org

Page 34: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

10

Sematech - 6th Annual Mask Cleaning Workshop 19

Acknowledgements

Robert J. Chen and Il-Seok Son for helpful discussions and supports

SEMATECH's 6th Annual Mask Cleaning Workshop 34

September 14, 2009 www.sematech.org

Page 35: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

1

091409091409

“Is HVM EUV Mask Micro-contamination a Significant Risk to Mask Lifetime?”

Archita Sengupta; Guojing Zhang; Long He & Seh-jin Park

Acknowledgement: SEMATECH: Brian Cha, Henry Yun and Abbas Rastegar

Relevant Suppliers’ data as referenced in the presentation and other references

SEMATECH Mask Clean Work Shop Presentation Sept 14, 2009

2

091409091409

Outline•Problem Statement:

– The impact of “Micro-contamination” on “EUV Mask” is Unknown

•Fundamental Approach – Root Cause Understanding

•How big of a threat?– Will it be as big/bigger than 193 “Haze” problem – fighting unknowns!?

•Should Industry seek proactive solution?

Ack: Henry Yun

SEMATECH's 6th Annual Mask Cleaning Workshop 35

September 14, 2009 www.sematech.org

Page 36: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

2

3

091409091409

EUV Mask Blank Film Structure

Low Thermal Expansion Substrate

Si (~4.1 nm)Si (~4.1 nm)

87 nm 87 nm TaO/TaNTaO/TaNbased absorberbased absorber

~280 nm reflective ~280 nm reflective MoMo--Si multiSi multi--layer layer ((40 pairs40 pairs))

λλ==13.5 nm (613.5 nm (6°°))

Mo (~2.8 nm)Mo (~2.8 nm)

70 nm CrN 70 nm CrN conductive layerconductive layer

2.5 nm Ru 2.5 nm Ru capping layercapping layer

4

091409091409

Systematic Approach To Solve the Problem

Chemical and Chemical and Spectroscopic Analysis Spectroscopic Analysis

to assess risk?to assess risk?

Defect DetectionDefect DetectionDue diligence in Due diligence in Understanding Understanding

the current problem the current problem and project to futureand project to future

Improve:Improve:Mask Manufacturing processesMask Manufacturing processes

and materialsand materialsLitho exposure tool Litho exposure tool

and Fab environment, and Fab environment, Use and StorageUse and Storage

Prevent SMC accumulation Prevent SMC accumulation Prevent AMC to stickPrevent AMC to stick

Increase Reticle lifetimeIncrease Reticle lifetime

Understand Understand Chemistry, Chemistry,

SMC accumulation, SMC accumulation, AMC risk to stickAMC risk to stick

Surface Change during Surface Change during Reticle lifetimeReticle lifetime

Does Industry need to seek Does Industry need to seek proactive solutionproactive solution

SEMATECH's 6th Annual Mask Cleaning Workshop 36

September 14, 2009 www.sematech.org

Page 37: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

3

5

091409091409

Major EUVL Challenge: Availability of a Defect-free Mask

Substrate and Blank

Patterning

Wafer Fab

Substrate & Blank Supplier

Subs Polish -> ML Dep -> Fiducial Mark -> Abs Dep

Inspection2.0G 2.5G 3.0G

Actinic Pattern

InspectionEUV AIMS

Mask Shop Processes

Data prep, Fiducial Mark, Defect coordinatese-beam writing/pattern shifts Etch -> Repair Cleaning Shipment

Use and Storage Printing

Pre-Contamination&

Soft Defect

C Contamination During Exposure& Reaction of SD

Wafer Fab Processes

Net Effect on HVM Mask Life?Ideal: Actinic pattern inspection → Repair → AIMS → Wafer fab.

13.5nm

6

091409091409

EUV Mask Contamination: Current Critical IssueCarbon contamination

• TPT (Increase of dose, reflectivity change)

• Imaging performance: CD variation, dose shift, through focus imaging quality, degradation of exposure latitude

• Effects of smaller DOF and potential LWR due to the contamination topography

• Lifetime of multilayer surfaces, reflectivity loss

• Current work involves: measurement of density of C cont./Exposure simulation/Cleaning of C-cont.

Larger CD after 8hrs of EUV Exp; Target 160nm on mask plane

The average reflectivity loss from dark field on the mask :~ 17% for 8- hr EUV exp = ~20 nm C

the target CD is 40-nm. Thicker C layer causes additional CD variation and dose shift, which results in a lower throughput.More than 50% of additional dose needed for both shadowed and non-shadowed cases

Contamination topography could affect the printing performance dramatically.

Ref: Fan et al.: SEMATECH-CNSE-LBNL Report: Proc. of SPIE Vol. 7271 72713U-3

SEMATECH's 6th Annual Mask Cleaning Workshop 37

September 14, 2009 www.sematech.org

Page 38: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

4

7

091409091409

EUV Pod Being Implemented for Pilot Line Tools

J. Zimmerman & L. He – International EUVL Symposium (October, 2008)

EUV pod standard

SEMI EUV Reticle Handling Task Force Concentrating on Mechanical standard

Schematics are courtesy of Nikon/Canon.

Concept to implement EUVConcept to implement EUV--pod to pod to Exposure toolExposure tool

Concept to implement EUVConcept to implement EUV--pod to pod to Exposure toolExposure tool

8

091409091409

sPod shows reticle protection down to 0.1 added particle/cycle @ 53 nm

0.110.01 0.00

0.10

0.00

0.20

0.40

0.60

0.80

1.00

ShippingVacuum

StorageTotal

Ave

rage

Add

ers

Ref: Long He, et al. – Proc. SPIE 6921, 69211Z (March 21, 2008)

with Inner Pod Exposed

sPod Carrier

Particle-free mask handling

SEMATECH's 6th Annual Mask Cleaning Workshop 38

September 14, 2009 www.sematech.org

Page 39: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

5

9

091409091409

: EUV Pod

: non-EUV carrier

Concept for Implementing a Reticle Handling Solution in EUVL Production

Mask making

Mask Shop

Wafer Fab

StorageExposure tool

Inspection/Re-clean

Last tool

Actual implementation strategy is entirely the users’ decision.

Actual implementation strategy is entirely the users’ decision.

Reticle Shipping

10

091409091409

EUV Molecular Contamination Area is Yet to be “Explored” to its “Full Potential”!

SEMATECH's 6th Annual Mask Cleaning Workshop 39

September 14, 2009 www.sematech.org

Page 40: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

6

11

091409091409

EUV Micro-contamination: Problem Statement

-Resist/PAG Outgassing issue for HVM

•Optics Contamination issue

•fluorocarbon outgassing in the illuminator a problem?

•Should we consider OoB radiation as well?

Other concerns:

-- Material Concern: Operate without pellicle- Transportation & Handling (inside/outside tool), Backside Contamination-In-Fab mask protection: maintenance & storage-Reaction of adsorbed Moisture/Organics/Inorganics with EUV photon

Would EUV HVM Face “haze-like growth”?

(Or only C contamination?)

-- Organics and Inorganics & adsorbed moisture-- Chemical Vs. Particulate: Atomic Vs. Molecular- Over time Growth or not (HVM use/Long term exposure vs. storage)- Residual SMC (cleaning processes) under HVM - Surface chemistry of small mol vs. risk of higher hydrocarbon surface retention on EUV mask surfaces

Contamination on EUV mask: Physical and Chemical nature:

AMC SMC

12

091409091409

Risk at Integrated EUVL Reticle Flow:

Ship (outgassing from outer POD?)

Inspection

Need in-fab clean?

Exposure

Mask Shop:Mask Shop:Manufacturing/Clean/StoragManufacturing/Clean/Storage/ POD:e/ POD:Deposited SMC Deposited SMC

In fab HVM use/storage: SMC

Reaction of SMC under EUVReaction of SMC under EUV

Over all Risk:Over all Risk: Reaction on preReaction on pre--existing SMC or existing SMC or new contamination growth can occur new contamination growth can occur under under EUVEUVphotonsphotons or secondary electronsor secondary electrons ““crackingcracking””hydrocarbons or other species adsorbed on the hydrocarbons or other species adsorbed on the optical/mask surfacesoptical/mask surfaces

Threat tomask Life

SEMATECH's 6th Annual Mask Cleaning Workshop 40

September 14, 2009 www.sematech.org

Page 41: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

7

13

091409091409

Possible EUV Micro-Contamination Chemistry and 193nm Contamination Commonality

14

091409091409

Scanner Environment/Exposure + Moisture absorption

Residual Ions/organics &/or adsorption from environment

Sulfate ionOrganic acids

Primary Mechanism for 193nm photo-induced defect formation: hydrated salt formation

Ammonium ionsOrganic base

Photochemistry/Photocatalysis=

Accelerated crystal growth=

Salt Crystal/Haze

•Key issues for 193nm Litho Haze defects: •High Energy 193nm Photon

•O3/O’ radical reaction•Moisture absorption

Even though Direct O3/O radical Chemistry of 193 Haze not...

SEMATECH's 6th Annual Mask Cleaning Workshop 41

September 14, 2009 www.sematech.org

Page 42: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

8

15

091409091409

•Could it be worse under higher energy atomic absorption of 13.5nm?

•The effect on printability and Reticle lifetime could be severe!

•Common sources of contaminants are still same as 193nm Litho or even worse:•fab/tool env, mask manufacturing/cleaning processes, film depositions, resist stripping/cleaning, transportation/storage/handling.•Organics, Inorganics, Organo-metalics•Functional water clean in industry facing residual contamination issue.

Expect Pre-existing or Absorbed Molecular ContaminationTo react on EUV Mask Surface

We can never expect a complete “Chemically Pure Surface”

R2CH2EUV

R2C↑↓ = R2C: R2C↑ = R2C:

Mode of Reactants: Singlet, zwitterionic, triplet, diradicaloid

H2Oe- O

OOOH’OH’

O2EUV

16

091409091409

193 Haze Prevention MethodsFor 193nm Lithography: Haze Mitigation has been “Shared Responsibility”

• Mask Manufacturer– Reticle residuals– Mask process materials and process change control– Pellicle– Raw materials – Blank

• Mask user & Litho tool manufacturer– Fab environment and AMC control– Litho tool environment implementation– Storage Conditions and transport materials

• Material suppliers– Low outgassing materials for fab use – Systematic process change control

Same Or More Collaboration Needed for EUVNeed Exposure Data & Modeling“Proactive Reticle Protection Spec”

SEMATECH's 6th Annual Mask Cleaning Workshop 42

September 14, 2009 www.sematech.org

Page 43: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

9

17

091409091409

Possible Chemistry under EUV

•Key Issues with EUV are

• Atomic Absorption

• Severe Molecular Photochemistry– Ionization vs. Dissociation

2118190

182148

140.5134.9

120117.5

115.2115109.3105.4101.997.691.984.376.976.463.6

32.9

0 500 1000 1500 2000 2500

En e rg y( kc a l)

O- OC - NC - O

C - C lC - CN- HC - H

H- C lSi- OO- H

248nm Pho tonC - FO=O

Si-H- F

C =C193nm Pho ton157nm Pho ton

C =O13.5nm

Bond

s an

d Ph

oton

KrF lithography

ArF lithography

F2 lithography

Ref: K. Bhattacharyya, KLA Tencor; Brian Cha: SEMATECH

18

091409091409

“Complicated Molecular Photochemistry”Under EUV can be expected

•Multiple Ionization vs. Dissociation/Fragmentation can compete:

•Nonlinear Photochemistry: Formation of carbon atoms in the high energy metastable state possible from multi-photon dissociation of some aromatic molecules, due to two-photon resonances three-photon ionization of atomic carbon, following

the dissociation of the molecule

Proc. Indian Acad. Sci. (Chem. SO.), Vol. 103, No. 3, March 1991, pp. 459-463.Modern Molecualr PhotoChemsitry by Turro: and Science.jrank.org/pages/5153/photochemistry reaction pathways

Ionization vs. Dissociation in continuum

SEMATECH's 6th Annual Mask Cleaning Workshop 43

September 14, 2009 www.sematech.org

Page 44: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

10

19

091409091409

Allowable Molecular Photochemistry in EUV region

Radical formation

(breaking of σ/π bond)

Photolysis

Hydrogen abstraction

Photo-addition

Photo-Substitution

Typical organic Spectrum: High Abs in >=UV

Ref: Modern Molecular Organic Photochemistry by Turro

20

091409091409

Degradation of mask surface and contamination deposition may eventually increase the cost structure of EUV mask

Oxidative degradation by clean process: **

NH4OH/H2O2 clean

Reflectivity change, CD loss

Similar damage on Ru surface with DI/O3 clean -> EUV reflectivity loss and increase in roughness (variation of 2nm, almost same as thickness)

Highly unstable, forms RuO2

• Metallic ions, Organics and Organo-metallics and Organo-silicons/silicates may deposit•Contaminants can be reactive in terms of decomposition/polymerization/change in oxidation state

**Acknowledgement: HamaTech paper: Proc. of SPIE Vol. 7379 73790D-2

SEMATECH's 6th Annual Mask Cleaning Workshop 44

September 14, 2009 www.sematech.org

Page 45: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

11

21

091409091409

Possible Role of Moisture Absorption

Ru/TaN/SiO2 – may retain moisture from AMC/Usage

Turro: Modern Molecular Photo-Chemistry

Under certain condition generation of SO2

22

091409091409

Summary: EUV Focus Areas Whatever the contamination is: Need to Protect the Mask

Develop an Industry Spec

AMC and Humidity Control

Need chemical assessment of EUV reticle carrier standard

Seek supplier collaboration in developing a spec.

Metallic ions, Organics, Organic metallic and Organo-silicons/silicates.

Mask Transportation, Environmental, Handling and Storage

Develop actinic inspection, AIM for printable defect assessmentNon-detectable, but printableInspection

Post exposure Optics Contamination control (Organics: C & Oxidation of surface materials.

Assess HVM effect of “higher” EUV power/accumulated dose effect vs. fab use

SMC reacts under EUV, resist outgassing, secondary electron reactionsScanner

Blank cleaning, deposition standardizationAttention: cleaning detergent, sputtering material/target for deposition of layers.

Blank Contamination Control

Assess Cleaning Chemical Effect on materials

Assess ionic contamination effect for HVM for progressive defects and embedded contamination

Reaction of Clean chemistry with absorber/capping layer and back side Cr

Reaction of pre-absorbed MC with EUV (HVM)

Pattern Mask Cleaning

Work NeededProblem anticipatedAreas

•Strategy should be:

•Evaluate real threat by mimicking HVM Exposure Conditions:

•Understand rate/mechanism of contamination photochemistry under EUV photon/secondary e-processes by modeling and chemical/spectroscopic analysis of intentional contamination exposure data over time

•Work with Scanner suppliers to develop and Industry standard spec for mask/AMC

•SEMATECH could lead Material development & data collection

SEMATECH's 6th Annual Mask Cleaning Workshop 45

September 14, 2009 www.sematech.org

Page 46: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

12

23

091409091409

Back up

SEMATECH's 6th Annual Mask Cleaning Workshop 46

September 14, 2009 www.sematech.org

Page 47: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

1

cnse.albany.edu

6th Annual SEMATECH Mask Cleaning WorkshopSeptember 14, 2009

Composition and Topography of Carbon Contamination on Patterned EUV Masks

CNSE, Albany

Yu-Jen Fan, Leonid Yankulin, Alin Antohe, Petros Thomas, Rashi Garg, Chimaobi Mbanaso, Greg Denbeaux

SEMATECH, AlbanyAndrea Wüest, Francis Goodwin, Sungmin Huh

cnse.albany.edu [email protected]

Outline

Carbon contamination in extreme ultraviolet (EUV) exposure tools

Impact of carbon contamination on patterned masks

Removal rate of carbon contamination

Simulations of contamination topography

Discussion

SEMATECH's 6th Annual Mask Cleaning Workshop 47

September 14, 2009 www.sematech.org

Page 48: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

2

cnse.albany.edu [email protected]

Outline

Carbon contamination in extreme ultraviolet (EUV) exposure tools

Impact of carbon contamination on patterned masks

Removal rate of carbon contamination

Simulations of contamination topography

Discussion

cnse.albany.edu [email protected]

Carbon Contamination

Contamination is caused by photon and/or electron dissociation of residual carbon containing molecules in EUV exposure tools

Current solutions are to reduce the contamination rate and/or clean the mask surfaces

J. Hollenshead and L. Klebanoff, JVST B 24(1), Jan/Feb 2006

A. Wüest, IEUVI optics contamination TWG 2007

EUV Exposure tool vacuum chamber

Multilayer Mask

Carbon contamination

e-

e-

e-

SEMATECH's 6th Annual Mask Cleaning Workshop 48

September 14, 2009 www.sematech.org

Page 49: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

3

cnse.albany.edu [email protected]

EUV MiMICS Tool – Contamination Experiments at Albany

Equipped with Energetiq EQ-10M xenon-based plasma EUV source

Lower power and more contaminants than high volume manufacturing tool

Rapidly produced a photo-induced carbon contamination layer

EUV Source

Mask

Multilayer Mirror

SiZr Filter

Designed Aperture

Carbon-containing gas

G. Denbeaux, et al., “Accelerated contamination testing of EUV masks.” Proc. of SPIE Vol. 6921, 2008Y.J Fan, et al., “Carbon contamination of EUV masks and its effect on imaging.” Proc. of SPIE Vol. 7271, 72713U, 2009

cnse.albany.edu [email protected]

Reticle for Contamination Experiment

Feature size on the mask: 110~225 nm

Various pitch available from 1:4 to 4:1

Selected fields were contaminated intentionally with 3 mm x 5 mm aperture

Film stack

10 nm SiO211 nm Si

67 nm TaN

40 bilayersMo/Si

40 nm L/SContamination

Si capped EUV mask

1mm

SEMATECH's 6th Annual Mask Cleaning Workshop 49

September 14, 2009 www.sematech.org

Page 50: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

4

cnse.albany.edu [email protected]

Outline

Carbon contamination in extreme ultraviolet (EUV) exposure tools

Impact of carbon contamination on patterned masks

Removal rate of carbon contamination

Simulations of contamination topography

Discussion

cnse.albany.edu [email protected]

Contamination on the Sidewall of Absorbers

CD=152.6 ± 1.3 nm

100nm

Before contamination

CD=176.6 ± 1.7 nm

100nm

After contamination

Target critical dimension (CD) on the mask is 160 nmAfter contamination, measured CD was increased indicating carbon growth on sidewall of the absorber

Ret

icle

SE

M

SEMATECH's 6th Annual Mask Cleaning Workshop 50

September 14, 2009 www.sematech.org

Page 51: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

5

cnse.albany.edu [email protected]

Surface Morphology using Atomic Force Microscope

Feature size for AFM measurement is 225 nm lines and 675 nm spacesThe full width at half maximum was increased by 40.6 nm with 24 nm of carbon deposited based on the density of carbon to be 1.5 g/cm3

200nm 200nm

Clean Contaminated

cnse.albany.edu [email protected]

Surface Roughness

200nm 200nm

Clean Contaminated

0.29nm 0.45nm

RMS roughness was increased by ~50% on contaminated region with 24nm of carbon depositedWill this transfer to wafer plane line-edge-roughness?

SEMATECH's 6th Annual Mask Cleaning Workshop 51

September 14, 2009 www.sematech.org

Page 52: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

6

cnse.albany.edu [email protected]

Outline

Carbon contamination in extreme ultraviolet (EUV) exposure tools

Impact of carbon contamination on patterned masks

Removal rate of carbon contamination

Simulations of contamination topography

Discussion

cnse.albany.edu [email protected]

X-ray Photoelectron Spectroscopy (XPS)

0

2000

4000

6000

8000

10000

12000

14000

16000

18000

0 100 200 300 400 500 600 700 800 900 1000

binding energy (eV)

coun

ts/s

contaminated

C

Ru

O

Si

XPS measurement was done on Ru coated Si wafer with ~11nm of carbon contamination

Contamination is primarily carbon with some oxygen

SEMATECH's 6th Annual Mask Cleaning Workshop 52

September 14, 2009 www.sematech.org

Page 53: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

7

cnse.albany.edu [email protected]

Removal Rate of Carbon Contamination

Etch rate with piranha etch of resists were ~60,000 nm/min, and 2.6 nm/min for parylene

Piranha etching (98% H2SO4:30% H2O2=4:1) was used to clean the grating surface, and the removing rate was ~ 3 nm/minute

K. Williams, “Etch rates for micromachining processing”, Journal of Microelectromechanical Systems, Vol. 12, No. 6, 2003

Carbon

grating

cnse.albany.edu [email protected]

Outline

Carbon contamination in extreme ultraviolet (EUV) exposure tools

Impact of carbon contamination on patterned masks

Removing rate of carbon contamination

Simulations of contamination topography

Discussion

SEMATECH's 6th Annual Mask Cleaning Workshop 53

September 14, 2009 www.sematech.org

Page 54: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

8

cnse.albany.edu [email protected]

Lithographic SimulationI. Use resist parameters determined from previous exposure

II. Simulate two extreme cases for contamination topographyDirect depositionConformal deposition

III. Use Panoramic software to calculate the effects of carbon contamination

Absorber

Multilayer Mask

Carbon Contamination

Multilayer Mask

Absorber

Direct Deposition Conformal Deposition

cnse.albany.edu [email protected]

Modeled Contamination Topography

Red square shows experimental data, and images were printed at the SEMATECH Berkeley micro-field exposure toolConformal deposition requires more dose to print Should the shadowing effect to be taken into account?

10

15

20

25

30

0 5 10 15 20 25 30 35 40

Carbon thickness (nm)

Dose

(mJ

cm-2

)

10

15

20

25

30

0 5 10 15 20 25 30 35 40

Carbon thickness (nm)

Dose

(mJ

cm-2

)

SEMATECH's 6th Annual Mask Cleaning Workshop 54

September 14, 2009 www.sematech.org

Page 55: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

9

cnse.albany.edu [email protected]

Limitation of CD Compensation

The contamination was assumed to be conformal

CD compensation was applied to fix the shadowing effect for the clean mask

The CD compensation failed above 10 nm of carbon

10

15

20

25

30

35

40

0 5 10 15 20 25 30 35

Carbon thickness (nm)

Dose

(mJ/

cm^2

)Start to diverge

Shadowed

Non-shadowed

10

15

20

25

30

35

40

0 5 10 15 20 25 30 35

Carbon thickness (nm)

Dose

(mJ/

cm^2

)Start to diverge

Shadowed

Non-shadowed

cnse.albany.edu [email protected]

Outline

Carbon contamination in extreme ultraviolet (EUV) exposure tools

Impact of carbon contamination on patterned masks

Removing rate of carbon contamination

Simulations of contamination topography

Discussion

SEMATECH's 6th Annual Mask Cleaning Workshop 55

September 14, 2009 www.sematech.org

Page 56: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

10

cnse.albany.edu [email protected]

Topography Discussion

If this is the real contamination topography, can you clean it?

cnse.albany.edu [email protected]

Conclusion

Carbon contamination occurs in EUV exposure tools

Carbon contaminates on the sidewall of absorbers

Contamination topography appears to be conformal, but different shape could affect the efficiency of cleaning process

Composition and topography could make cleaning a challenge

SEMATECH's 6th Annual Mask Cleaning Workshop 56

September 14, 2009 www.sematech.org

Page 57: SEMATECH’s 6th Annual Mask Cleaning Workshop presentations... · an MBA from the Nordakademie in Elmshorn in 2003, he moved to Dresden to act as ... 6th Annual Mask Cleaning Workshop,

11

cnse.albany.edu [email protected]

Acknowledgements

Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and ISMI are servicemarks of SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

SEMATECH for funding this work

CNSE – Corbet Johnson, Yunfei Wang

Berkeley MET team – Patrick Naulleau, Paul Denham, Gideon Jones, Brian Hoef, Lorie-Mae Baclea-an

cnse.albany.edu [email protected]

Thank you

SEMATECH's 6th Annual Mask Cleaning Workshop 57

September 14, 2009 www.sematech.org