216
PHYSICS AND TECHNOLOGY OF HIGH MOBILITY , STRAINED GERMANIUM CHANNEL, HETEROSTRUCTURE MOSFETS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF DOCTOR OF PHILOSOPHY Tejas Krishnamohan June 2006

P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Page 1: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

PHYSICS AND TECHNOLOGY OF HIGH MOBILITY, STRAINED GERMANIUM CHANNEL,

HETEROSTRUCTURE MOSFETS

A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL

ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF

STANFORD UNIVERSITY IN PARTIAL FULFILLMENT OF THE

REQUIREMENTS FOR THE DEGREE OF DOCTOR OF PHILOSOPHY

Tejas Krishnamohan

June 2006

Page 2: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

ii

Copyright by Tejas Krishnamohan 2006

All Rights Reserved

Page 3: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

iii

I certify that I have read this dissertation and that in my opinion it is fully adequate, in scope and quality, as dissertation for the degree of Doctor of Philosophy.

________________________________ Krishna C. Saraswat (Principal Advisor)

I certify that I have read this dissertation and that in my opinion it is fully adequate, in scope and quality, as dissertation for the degree of Doctor of Philosophy.

________________________________ Yoshio Nishi (Co-advisor)

I certify that I have read this dissertation and that in my opinion it is fully adequate, in scope and quality, as dissertation for the degree of Doctor of Philosophy.

________________________________ Hon-Sum Philip Wong (Co-advisor)

Page 4: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

iv

I certify that I have read this dissertation and that in my opinion it is fully adequate, in scope and quality, as dissertation for the degree of Doctor of Philosophy.

________________________________ Jeffrey J. Welser (Mentor)

I certify that I have read this dissertation and that in my opinion it is fully adequate, in scope and quality, as dissertation for the degree of Doctor of Philosophy.

________________________________ Jim Plummer (Co-advisor)

Approved for the University Committee on Graduate Studies.

Page 5: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

v

ABSTRACT

As silicon CMOS technology advances into the sub-20nm regime, fundamental

and manufacturing limits impede the traditional scaling of transistors. Innovations in

materials and device structures will be needed for continued transistor miniaturization

and commensurate performance improvements. In this thesis, we introduce novel,

strained germanium, heterostructure, Double Gate (DG) MOSFETs, which can

significantly reduce the off-state leakage currents, while retaining their high current

drivability and excellent electrostatic control.

In the first part, we look at the various challenges encountered in improving

the performance of nanoscale MOSFETs. We discuss some of the current approaches

to engineering the device structure and the channel material to enhance the overall

device performance. We then present the electrical properties of Si(1-x)Gex alloys,

going from Si(x=0) all the way to Ge(x=1), and the effect of strain on their

bandstructure, carrier effective mass and mobility. We also discuss the material

properties, growth technologies and state-of-the-art experimental research results on

high mobility p-MOSFETs utilizing strained-SiGe layers.

Large Band-To-Band Tunneling (BTBT) leakage currents can ultimately limit

the scalability of high mobility (small bandgap) materials. Through 1-D Poisson-

Schrodinger, full-band Monte-Carlo and detailed BTBT simulations, we thoroughly

analyze the tradeoffs between carrier transport, electrostatics and BTBT leakage in

Page 6: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

vi

high mobility, sub-20nm, strained-SiGe (high germanium concentration),

heterostructure, PMOS DG FETs. Our results show a dramatic (>100X) reduction in

BTBT and excellent electrostatic control of the channel, while maintaining very high

drive currents and switching frequencies, in these nano-scale transistors.

For the first time, through detailed experiments, we examine the transport and

leakage in ultra-thin, strained-Ge MOSFETs on bulk and SOI. The fabricated devices

show very high mobility enhancements of >4X (over bulk Si devices), while

simultaneously achieving a low off-state leakage.

Finally, the tradeoffs between drive current, intrinsic delay, BTBT leakage and

short channel effects have been systematically compared in futuristic high mobility

channel materials, like strained-Si (0-100%), strained-SiGe (0-100%) and Ge. All

possible combinations of strained Si(1-x)Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

virtual substrates have been evaluated. A detailed discussion on the optimal channel

materials and device structures for future nanoscale p-MOSFETs is presented.

Page 7: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

vii

ACKNOWLEDGEMENTS

This thesis would not have been possible without the help and contribution of

several individuals, to whom I am greatly indebted. First of all, I would like to thank

my advisor Prof. Krishna Saraswat for his support and guidance throughout the course

of my research. I especially appreciate his patience and the time he gave me to choose

my research topic, while exploring a large number of areas in novel device physics

and technology. Prof. Saraswat provided me the perfect blend of encouragement and

motivation throughout my graduate career. He has been undoubtedly one of the most

kind and helpful people I have ever interacted with at Stanford. I have been extremely

fortunate to work with the finest advisor that one could possibly hope for.

I am also deeply indebted to my co-advisor Prof. Yoshio Nishi, who has been

an excellent role model and mentor. I have learned a lot from his vast knowledge, his

wide industry experience and strong technical expertise. His has been kind and

generous to share his years of success and experience in the field. This will always be

a continuous source of inspiration for me throughout my career. It has been extremely

rewarding experience to work with him. I would like to thank Prof. Nishi for his

generous support, valuable guidance and insightful suggestions through the course of

my PhD.

I thank Prof. Jim Plummer for his advice and encouragement during my initial

years at Stanford. I have learnt a lot through discussions with him. In spite of his

Page 8: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

viii

extremely busy schedule, Prof. Plummer has always made time to give me very

thoughtful and constructive criticism on my research project.

I would like to thank Prof. Philip Wong for contributing the time to serve on

my thesis committee and for his useful feedback on my research. He brings with him a

wealth of knowledge and information from his years of experience at IBM, research.

Philip has a very unique, friendly and unassuming style, and I have learnt

tremendously through various technical discussions on several collaborative research

projects with him and his students.

I would like to thank Dr. Jeff Welser from IBM, Almaden for agreeing to be on

my thesis committee and taking time off his busy schedule to attend my defense. I am

very grateful to him for sharing his industrial experience, and for his thoughtful

comments and excellent feedback on my research papers. As a friend, mentor and

dynamic role model, Jeff has provided me with invaluable and unbiased guidance on

research and future career options.

I am also grateful to Prof. James Harris for chairing my doctoral dissertation

committee as well as for the important suggestions he provided me during the PhD

defense.

During the last couple of years of my PhD I was very fortunate to interact with

Dr. Mark Bohr and Dr. Tahir Ghani from Intel Corp., Portland. I would like to thank

them both for sharing their vast technical expertise and being my mentors during my

Intel PhD Foundation Fellowship. Coming from a research background in academia,

makes one oblivious of the tremendous effort and obstacles faced in eventually getting

Page 9: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

ix

to a product stage. As brilliant engineers and excellent managers, Mark and Tahir have

been strong role models and have helped me become aware of the challenging path

that bridges academic or industrial research to industrial product technology

development.

Through my first years at Stanford, I have worked very closely and made some

very good friends in the Strategic Technology Group at AMD, Sunnyvale. I would like

to thank Dr. Zoran Krivokapic, Dr. Ming-Ren Lin, Dr. John Ennals, Dr. Witek

Maszara, Dr. Qi Xiang and Dr. Gen Pei. They have always considered me to be part of

their group and allowed me complete freedom in my research and use of their

equipment. I have gained a lot of industrial experience and learnt tremendously

through my interactions with them. In particular, I would like to thank Zoran for being

an excellent mentor during my summer internships and for his support throughout my

years of interaction with AMD.

I have been extremely fortunate to know Dr. Christoph Jungemann, since his

stay at Stanford as a visiting researcher. We have worked together on several exciting

collaborative projects and I hope this will continue in the future. My technical

discussions with him have greatly enhanced my understanding of device physics and

carrier transport. My non-technical discussions (and experiments) with Christoph have

greatly increased my appreciation for german beer!

I have known Dr. Ken Uchida from Toshiba Corp., Japan, since his visit to

Stanford as a visiting scientist under the INMP program. Ken is one of the brightest

scientists I have interacted with. From his years of experience in theory, simulations

Page 10: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

x

and experiments (a rare combination), I have learnt a lot. His continued eagerness to

learn and research into new scientific ideas, while contributing to the field has been

very inspiring to me.

During the last few months of my PhD, I worked with some very bright

scientists at IMEC, Belgium. My experience at IMEC, through my interaction and

collaboration with the germanium/III-V group, was very enjoyable. I am especially

grateful to Marc Heyns, Matty Caymax, Marc Meuris, Ben Kaczer, Brice De Jaeger,

Michael Houssa and Kuhn Martens for their strong support, friendship and exciting

discussions.

I would like to express my gratitude to Dr. Noburu Fukushima, Prof. Shin-ichi

Takagi, Prof. Bob Dutton, Dr. Suman Datta, Dr. Chi-Dong Nguyen, Dr. George

Celler, Dr. Takao Yonehara, Prof. Chris Chidsey, Prof. KJ Cho, Prof. Hongjie Dai, Dr.

Makoto Fujiwara, Dr. Ann Marshall, Prof. Mike McGehee, Prof. Paul McIntyre, Dr.

Wilman Tsai, Dr. Jack Kavalieros, Prof. Charles Musgrave, Prof. Fabian Pease, Dr.

Marcel Pelgrom, Dr. Baylor Triplett for providing excellent feedback, advice and

direction to my research work.

I am grateful to my undergraduate advisor, Prof. Juzer Vasi, for initiating my

interest in the field of semiconductor devices and physics. I thank him for his guidance

and support throughout my undergraduate years.

My experimental research work relied very heavily on the use of the Stanford

Nanofabrication Facility. The SNF staff have done an excellent job in training the lab

users and in maintaining the lab equipment. I would like to thank Dan, Mike, Elmer,

Page 11: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xi

Cesar, Mahnaz, Nancy, Uli, Ed, Maurice, Ted, Mario, Mary, Peter, Gladys, Ray, John,

Jeannie, Jim and John for always providing me with technical support and useful

suggestions. I would also like to thank the other lab users for their help. In particular, I

would like to thank Eric for sharing his knowledge and experience in the fab.

Special thanks to the administrative staff - Irene, Carmen, Maureen, Miho,

Fely, Diane and Sandy - for being very helpful and supportive throughout my stay at

the Center for Integrated Systems.

I consider myself lucky to have a great group of friends, in and out of Stanford,

who have enriched my life and made my years at Stanford very enjoyable. I would

like to acknowledge the Saraswat group, the Plummer group, the Nishi group and the

McIntyre group for sharing their knowledge and insights with me. My heartfelt thanks

to my friends Abhijit, Ali, Ammar, Amol, Anu, Aparna, Arash, Arjang, Colin, Chi-

On, Caleb, Dan, David, Donghyun, Dunwei, Duygu, Ed, Eric, Eunji, Evelyn, Gen,

Gunhan, Hemanth, Henry, Hollis, Hoon, Hopil, Hoyeol, Hyungsub, Hyunmi,

Hyunyong, Jacob, Jeong-hee, Jie, Jim, Jinhong, John, Jon, Joon-seok, Jungyup, Kang-

il, Kailash, Maya, Miriam, Mukul, Nevran, Paul, Pawan, Pranav, Praneeth, Rafael,

Raghav, Raman, Raja, Ray, Rob, Rohan, Rohit, Ryan, Saeroonter, Sameer, Sangho,

Serene, Shyam, Sonal, Sungwoo, Takuya, Xiabo, Yuan, Yue, Yu-Hsuan, Zhi and

many others for their friendship and support.

Financial funding support by the Defense Advanced Research Projects Agency

(DARPA), MARCO Materials, Structures and Devices (MSD) Center, INitiative for

Page 12: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xii

Nanomaterials and Processes (INMP) and an Intel Fellowship is gratefully

acknowledged.

No words would ever do justice to express my deepest thanks and gratitude to

my most wonderful family – my parents and my sister. I will be eternally grateful to

them for being there for me at all times. Their continuous love, sacrifice, support and

encouragement have allowed me to pursue my ambitions.

I dedicate this work to them.

Page 13: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xiii

TABLE OF CONTENTS

Abstract………………………………………………………………………………..iv

Acknowledgements……………………………………………………………………vi

Table Of Contents…………………………………………………………………….xii

List Of Tables……………………………………………………………………….xvii

List Of Figures……………………………………………………………………….xix

Chapter 1: Introduction………………………………………………………………...1

1.1 Motivation………………………………………………………………….1

1.2 Organization………………………………………………………………..6

Chapter 2: Scaling To Sub-20nm MOSFETs………………………………………….7

2.1 Challenges In Scaling Conventional Bulk MOSFETs……………………..7

2.1.1 Long Channel MOSFET…………………………………………8

2.1.2 Short Channel Effects……………………………………………9

2.2 Nano-engineering The Device For Better Electrostatic Control………….13

2.2.1 Partially-Depleted SOI MOSFETs……………………………...13

2.2.2 Fully-Depleted SOI MOSFETs…………………………………14

2.2.3 Multi-Gate (Double-Gate, Tri-Gate, Surround-Gate)

MOSFETs…………………………………………………………….17

2.3 Nano-engineering The Material For Enhanced Carrier Transport………..19

Page 14: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xiv

2.3.1 Impact Of Mobility On The Drive Current In Nanoscale

MOSFETs…………………………………………………………….19

2.3.2 Transport In Strained Si………………………………………...22

2.3.3 Transport In Germanium………………………………………..29

Chapter 3: Strained And Relaxed Silicon-Germanium Si(1-x)Ge(x) Alloys: Going

From Silicon (x=0) To Germanium (x=1) …………………………………………...41

3.1 Bandstructure And Electrical Properties Of SiGe Alloys………………...42

3.1.1 Bandstructure…………………………………………………...42

3.1.2 Effective Mass…………………………………………………..44

3.1.3 Mobility…………………………………………………………47

3.2 Growth Technologies For Strained And Relaxed SiGe Alloys…………..54

3.2.1 Growth Of Strained-Si(1-x)Ge(x) Layers On Si………………..54

3.2.2 Strained Si(1-x)Ge(x) On Relaxed Si(1-y)Ge(y) Virtual

Substrates……………………………………………………………..58

3.2.3 Forming SiGe-On-Insulator Substrates By The Ge Condensation

Technique……………………………………………………………..62

3.3 Current State-Of-The-Art Research On Strained-Ge p-MOSFETs………64

3.3.1 Experimental Results…………………………………………...64

3.3.2 High-Mobility / Narrow-Bandgap Tradeoffs…………………...73

Page 15: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xv

Chapter 4: High Mobility, Low Band-To-Band Tunneling (BTBT) Strained

Germanium, Double Gate (DG), Heterostructure FETs: Simulations………………..75

4.1 Introduction……………………………………………………………….76

4.2 Double Gate Heterostructure FETs……………………………………….77

4.3 Device Working And Quantum Mechanical Effects……………………..80

4.4 Band-To-Band Tunneling (BTBT) Leakage……………………………...84

4.5 Electrostatic Channel Control…………………………………………….87

4.6 High Mobility – Transport………………………………………………..92

4.7 Conclusion………………………………………………………………..96

Chapter 5: High Mobility, Ultra Thin (UT), Strained Ge MOSFETs On Bulk And SOI

With Low Band-To-Band Tunneling (BTBT) Leakage: Experiments……………….98

5.1 Introduction……………………………………………………………….99

5.2 High Mobility, Low BTBT, Ultra-Thin, Strained Ge On Bulk Si………100

5.2.1 Device Structure And Fabrication……………………………..100

5.2.2 Mobility Enhancements And Low Tunneling Leakage……….104

5.2.3 High Mobility And Low Tunneling Leakage Design

Tradeoffs…………………………………………………………….109

5.3 High Mobility, Low BTBT, Ultra Thin, Strained Si0.2Ge0.8-On-SOI…...109

5.3.1 Device Structure And Fabrication……………………………..109

5.3.2 Mobility Enhancements And Reduced Tunneling Leakage…...111

5.4 Conclusion………………………………………………………………119

Page 16: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xvi

Chapter 6: Future Nanoscale p-MOSFETs………………………………………….121

6.1 Introduction……………………………………………………………...121

6.2 Benchmarking High Mobility Materials………………………………...122

6.2.1 Channel Materials Investigated………………………………..122

6.2.2 Transport: Long Channel Mobility vs. ION In Nanoscale

FETs…………………………………………………………………123

6.2.3 Performance: Intrinsic Delay………………………………….125

6.2.4 Off-state Leakage: Band-To-Band Tunneling (BTBT) ……….126

6.2.5 Benchmarking The Different Materials: Power-Performance...128

6.3 Benchmarking High Mobility Device Structures…………………….….130

6.3.1 Double Gate Geometries Investigated………………………...130

6.3.2 Off-state Leakage: Band-To-Band Tunneling (BTBT) ……….130

6.3.3 Scalability: Short Channel Effects (SCE) …………………….131

6.3.4 Performance: Drive Current (ION) And Intrinsic Delay (τ)……134

6.3.5 Benchmarking The Different Device Structures: Power-

Performance…………………………………………………………136

6.4 Conclusion………………………………………………………………136

Chapter 7: Future Research Directions……………………………………………...139

7.1 Materials and Device Structures For High Mobility n-MOSFETs……...139

7.2 Center Channel (CC), Depletion-Mode (DM), Double-Gate (DG) FETs for

Page 17: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xvii

Ge NMOS…………………………………………………………………...140

7.3 Germanium And Heterostructure Nanowire MOSFETs………………...143

7.4 Effect of Process Induced Variation (PIV) On Device Performance In High

Mobility MOSFETs…………………………………………………………148

7.5 Modeling Schottky-Barrier Carbon Nanotube MOSFETs In The Ballistic

Regime………………………………………………………………………150

7.6 Band-To-Band Tunneling Modeling: Device Structure And

Experiments…………………………………………………………………153

Chapter 8: Conclusions……………………………………………………………...156

References…………………………………………………………………………...160

Page 18: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xviii

LIST OF TABLES

Chapter 2

Table 2.1. Physical and electrical properties of Ge compared to Si………….………30

Table 2.2 (a) and (b) Effective mass of electrons in different valleys for different

surface and channel orientations. [2.80]…………….………………………….…….33

Chapter 4

Table 4.1. Carrier mobility and bandgap of some commonly used semiconductors…79

Chapter 5

Table 5.1. Splits for the devices fabricated on bulk substrates……………………...105

Table 5.2. Splits for the devices fabricated on SOI, SSDOI and bulk substrates…...103

Page 19: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xix

Chapter 6

Table. 6.1. Material parameters for (0,1) s-Si, (1,0) s-Ge, (0,0) r-Si and (1,1) r-Ge,

used in this study. ……….……….……….……….……….……….……….………124

Page 20: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xx

LIST OF FIGURES

Chapter 1

Fig. 1.1. Schematic of the bulk MOSFET structure……….……………….………. ...2

Fig. 1.2. Scaling the bulk MOSFET in accordance with Moore’s Law (source: Intel

Corp.)………….. ……….……….……….……….……….……….……….…………2

Fig. 1.3. The increase in chip performance as a consequence of scaling (source: Intel

Corp.) ……….……….……….……….……….……….……….……….……….……2

Fig. 1.4. The increase in chip complexity as a consequence of scaling (source: Intel

Corp.) ……….……….……….……….……….……….……….……….……….……3

Fig. 1.5. The reduction in cost per transistor as a consequence of scaling (source: Intel

Corp.) ……….……….……….……….……….……….……….……….……….……3

Fig. 1.6. Decrease in the relative performance of the bulk MOSFET, due to

fundamental and practical limits, as we scale to sub-20nm gate lengths (source:

ITRS)…………………….……….……….……….……….……….………………….3

Fig. 1.7. Need to maintain an increase in the drive current (Ion) even at reduced supply

voltages (Vdd) in the future (source: Intel)……………………………………………..5

Fig. 1.8. The increase in Ion with scaling is obtained at the expense of an exponentially

growing off-state leakage (Ioff) (source: Intel).……….……….……………………….5

Page 21: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxi

Fig. 1.9. At the current rate, the exponentially growing static power dissipation will

cross the active power consumption (source: Intel)……………………………………5

Chapter 2

Fig. 2.1. Schematic of the bulk transistor identifying the various problems faced in

scaling………………………………………………………………………………...11

Fig. 2.2. Schematic illustrating the problems associated with scaling the gate oxide and

moving to high-k dielectrics………………………………………………………….11

Fig. 2.3. Schematic of the Partially Depleted (PD) SOI structure……………………16

Fig. 2.4. Schematic of the Fully Depleted (FD) SOI structure……………………….16

Fig. 2.5. Schematic of the Double Gate (DG) MOSFET……………………………..16

Fig. 2.6. Schematic of the Tri-Gate (TG) MOSFET………………………………….18

Fig. 2.7. Schematic of the Surround-Gate (SG) MOSFET…………………………...18

Fig. 2.8 (a). Schematic of the Vertical MOSFET…………………………………….18

Fig. 2.8 (b). Schematic of the fin-FET………………………………………………..20

Fig. 2.8 (c). Schematic of the planar multi-gate FET…………………………………20

Fig. 2.9. Factors that dominate the current drive in (a) the classical drift model and (b)

the quasi-ballistic model. [2.17-2.19]………………………………………………...20

Fig. 2.10. Equi-energy surfaces of inversion layer electrons and the 2-D sub-band

structure projected on a (100) surface………………………………………………...24

Page 22: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxii

Fig. 2.11. Effect of tensile strain on the conduction sub-band structure of Si …….....24

Fig. 2.12 (a). Electron mobility enhancement factor of biaxially strained-Si n-

MOSFETs grown on relaxed SiGe substrates………………………………………...24

Fig. 2.12 (b). E-field dependence of mobility in biaxially strained-Si compared to

unstrained Si n-MOSFETs. [2.44]……………………………………………………24

Fig. 2.13. Theoretical calculations of the 3-D Si valence band structure near the Γ-

point with uni-axial compressive strain and bi-axial tensile strain. [2.50]…………...26

Fig. 2.14 (a). Hole mobility enhancement factor of biaxially strained-Si p-MOSFETs

grown on relaxed SiGe substrates…………………………………………………….26

Fig. 2.14 (b). E-field dependence of mobility in biaxially strained-Si compared to

unstrained Si p-MOSFETs. [2.56]……………………………………………………26

Fig. 2.15. E-field dependence of hole mobility enhancements in uni-axial and bi-axial

strained-Si compared to unstrained Si p-MOSFETs. [2.48]………………………….26

Fig. 2.16. Dependencies of hole mobility enhancements on uni-axial and bi-axial

strain (compressive and tensile). [2.50]………………………………………………28

Fig. 2.17. Different global straining techniques to introduce large bi-axial strain in Si

MOSFETs.……….……….……….……….……….……….………..………..……..28

Fig. 2.18. Different local straining techniques to introduce large strain in Si

MOSFETs…………………………………………………………………………….28

Fig. 2.19. Conduction and Valence band structure of Ge and Si……………………..30

Fig. 2.20 (a). The lowest valley for silicon is 6-fold symmetric and (b) the lowest

valley for germanium…………………………………………………………………32

Page 23: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxiii

Fig. 2.21. Drain saturation current of Si MOSFETs on (100) surface andGe MOSFETs

on (100) and (111) surfaces as a function of NS. [2.78]………………………………32

Fig. 2.22. Saturation current as a function of TSOI. [2.78]……………………………32

Fig. 2.23. Saturation current as a function of TGOI. [2.78]……………………………33

Fig. 2.24. Electron occupancy of the 1st ladder and lowest sub-band as a function of

TSOI and TGOI. [[2.78]……………………………………………………………...35

Fig. 2.25. Ultimate Isat-Vg characteristics in the limit of zero nm EOT. [2.78]……..35

Fig. 2.26. Typical Is-Vg characteristics for Ge n-MOSFETs, reflecting the poor drive

currents obtained experimentally……………………………………………………..35

Fig. 2.27 (a). Is-Vg characteristics (at low Vd bias) for the Ge PMOS, showing good

sub-threshold behavior………………………………………………………………..36

Fig. 2.27 (b). Transconductance of the Ge PFET compared to the Si control………..36

Fig. 2.27 (c). The effective mobility extracted on the Ge PFET compared to the Si

control………………………………………………………………………………...36

Fig. 2.28 (a). The Is-Vg characteristics for the Ge p-MOSFETs, showing good sub-

threshold behavior…………………………………………………………………….38

Fig. 2.28 (b). The extracted effective mobility for the bulk G p-MOSFETS compared

to the Si control and Si universal……………………………………………………..38

Fig. 2.29. The hole mobility of Ge, SiGe and Si p-FETs with Al2O3 dielectric

compared to Si universal curve……………………………………………………….38

Fig. 2.30. The bandgap of (a) strained Si and (b) strained Ge on Si(1-x)Gex as function

of underlying substrate Ge concentration (x). [2.88-2.89]……………………………39

Page 24: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxiv

Fig. 2.31 (a) and (b). The contour lines of energy for the first valence band of (a)

unstrained Si and (b) strained Si0.7Ge0.3 in the plane with kz=0 (vertical direction

parallel to the plane)…………………………………………………………………..39

Chapter 3

Fig. 3.1. Calculated valence and conduction band shifts in (a) Si and (b) Ge as a

function of in-plane biaxial strain. [3.1]……………………………………………...43

Fig. 3.2. Valence and conduction band shifts for relaxed Si(1-x)Ge(x) alloys as a

function of Ge mole fraction. [3.1]…………………………………………………...43

Fig. 3.3 (a) and (b). Valence and conduction band shifts for (a) Si(1-x)Ge(x) alloys

grown on a <001> Si substrate and (b) Si(1-x)Ge(x) alloys grown on a <001> Ge

substrate. [3.1]………………………………………………………………………..45

Fig. 3.4 (a). Calculated fundamental gaps of (100)-strained Si(1-x)Ge(x) alloys (active

material) grown pseudomorphically on unstrained Si(1-y)Ge(y) alloys (substrate

material). [3.2]………………………………………………………………………...45

Fig. 3.4 (b). Comparison of the theoretical and experimental data for the fundamental

gap in unstrained and strained Si(1-x)Ge(x) alloys. [3.2]…………………………….46

Fig. 3.5. Band structure discontinuities: Relative position of the Si and Ge valence and

conduction bands. Band splittings result from strain and spin-orbit splittings in the

materials. [3.3]………………………………………………………………………..46

Page 25: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxv

Fig. 3.6. Valence and conduction bands in strained Si(1-x)Ge(x) alloys matched to a

Si(001)substrate.[3.3]……………………………………………………………..…..46

Fig. 3.7. Valence and conduction bands in strained Si(1-x)Ge(x) alloys matched to a

Ge(001) substrate. [3.1]………………………………………………………………48

Fig. 3.8. Calculated electron curvature mass and hole density of states mass as a

function of biaxial in-plane strain. [3.1]……………………………………………...48

Fig. 3.9. Electron and hole effective masses for relaxed SiGe. [3.1]…………………49

Fig. 3.10. Calculated electron and hole effective masses for compressive and tensile,

biaxial strained SiGe. [3.1]…………………………………………………………...49

Fig. 3.11. Calculated band edge masses (in plane and out of plane) for the top most

valence band in strained SiGe. [3.1]………………………………………………….51

Fig. 3.12. Calculated phonon limited electron and hole mobility in strained-silicon.

[3.1]…………………………………………………………………………………...51

Fig. 3.13. Calculated phonon limited electron and hole mobility in strained-

germanium. [3.1]……………………………………………………………………...53

Fig. 3.14. Calculated phonon limited electron and hole mobility in relaxed-silicon

germanium. [3.1]……………………………………………………………………...53

Fig. 3.15. Calculated phonon limited electron and hole mobility in compressive

strained-SiGe on Si. [3.1]……………………………………………………………..55

Fig. 3.16. Calculated phonon limited electron and hole mobility in tensile strained-

SiGe on Ge. [3.1]……………………………………………………………………..55

Page 26: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxvi

Fig. 3.17. Schematic illustrating biaxial strain created by lattice mismatch and

epitaxial growth……………………………………………………………………….57

Fig. 3.18. Schematic illustrating defect-free strained growth and relaxed growth

through misfit dislocations……………………………………………………………57

Fig. 3.19. Stable and metastable critical thickness plots as a function of Ge fraction for

strained Si(1-x)Ge(x) grown on Si substrates. [3.7]………………………………….57

Fig. 3.20. Misfit / Threading arm dislocation geometries for capped and uncapped

Si(1-x)Ge(x) layers grown on Si……………………………………………..……….59

Fig. 3.21. Defect free strained Si0.6Ge0.3 layer on Si (with Si cap) grown in the ASM

reactor at Stanford…………………………………………………………………….59

Fig. 3.22. Coherently strained Ge nanoclusters on Si showing pyramids and domes.

[3.10]………………………………………………………………………………….59

Fig. 3.23. SiGe alloy under large compressive stress leads to surface roughness, which

reduces the elastic energy at the expense of an increased surface energy……………61

Fig. 3.24. Defect-free, ultra-thin (2nm) 100% strained-Ge on Si, using germane as the

source gas, grown in the ASM reactor at Stanford…………………………………...61

Fig. 3.25. Schematic cross-section of the graded SiGe layer technique to create a

defect-free, relaxed SiGe virtual substrate. [3.19]………………………..…………..61

Fig. 3.26. Typical cross-section of a relaxed-SiGe virtual substrate grown on a Si

substrate using graded SiGe as the transition layer. [3.22]…………………………...63

Fig. 3.27. Graded SiGe buffer layers grown at 6000C (a) and (b), and 8000C (c) and

(d). The high temperature buffer is better quality and has lower surface roughness.

[3.20-3.21]…………………………………………………………………………….63

Page 27: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxvii

Fig. 3.28. Schematic illustrating the Ge condensation technique. [3.23]……………..63

Fig. 3.29. (a) Cross-sectional TEM of GOI by condensation technique and (b) AFM

image of the GOI layer with rms roughness of ~0.42nm. [3.23]……………………..65

Fig. 3.30. Cross-section of the Si0.17Ge0.83 channel MOSFET. [3.24]…………….65

Fig. 3.31. Effective carrier mobility in the Si0.17Ge0.83 channel p-MOSFET as a

function of E-field compared to Si universal curves. [3.24]………………………….65

Fig. 3.32. Fabrication procedure for strained SGOI MOSFETs by a local condensation

technique. [3.26]……………………………………………………………………...67

Fig. 3.33. Efective mobility as a function of E-field for the SGOI p-MOSFETs

compared to universal mobility in Si nMOS and pMOS. [3.26]……………………..67

Fig. 3.34. Effective mobility vs NS for the SiGe devices. The onset of conduction at

the SiO2/Si interface is marked by a cross (+). [3.27]………………………………...67

Fig. 3.35 (a). Interface trapped charge density distributions vs energy measured from

the valence band edge of silicon, for the SiGe device with a 2nm Si cap and the

epitaxial Si control. [3.27]…………………………………………………………….69

Fig. 3.35 (b). Calculated rms interface roughness scattering potential at the SiO2/Si

interface and at the Si/SiGe interface for different Si capping layer thickness.

[3.27]………………………………………………………………………………….69

Fig. 3.36. Schematic of the strained-Ge MOSFET. [3.28]…………………………...69

Fig. 3.37 (a). Hole effective mobility vs Ninv of the strained-Si/strained-Ge dual-

channel heterostructures grown on SiGe. [3.28]……………………………………...70

Page 28: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxviii

Fig. 3.37 (b). The hole effective mobility for the dual-channel heterostructures as a

function of the Ge layer thickness. [3.28]…………………………………………….70

Fig. 3.38 (a). Comparison of extracted mobility for strained-Ge, bulk Ge and Si

control p-MOSFETs. [3.28]…………………………………………………………..70

Fig. 3.38 (b). Typical Is-Vg characteristics for the strained-Ge p-MOSFETs.

[3.29]………………………………………………………………………………….71

Fig. 3.39 (a). Transconductance of the PMOS with HfO2 gate oxide on 60% SiGe

channel formed by local thermal mixing compared to Si-HfO2 control. [3.30]……...71

Fig. 3.39 (b). Transconductance of the PMOS with remote plasma oxide on 100% Ge

channel formed by selective UHVCVD compared to Si-control with the same oxide.

[3.30]………………………………………………………………………………….71

Fig. 3.40 (a). Sub-threshold of the PMOS with HfO2 gate oxide on 60% SiGe channel

formed by local thermal mixing compared to Si-HfO2 control. [3.30]……………….72

Fig. 3.40 (b). Sub-threshold of the PMOS with remote plasma oxide on 100% Ge

channel formed by selective UHVCVD compared to Si-control with the same oxide.

[3.30]………………………………………………………………………………….72

Chapter 4

Fig. 4.1. Dominant leakage paths in nanoscale high-mobility CMOS devices. High-

mobility (low-bandgap) materials suffer from excessive BTBT leakage…………….79

Page 29: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxix

Fig. 4.2. Tradeoffs between effective mass (m*), bandgap (EG) and dielectric constant

(κS) in semiconducting materials……………………………………………………..79

Fig. 4.3. Tradeoffs between BTBT leakage, transport properties, and electrostatic

control in nanoscale high-mobility MOSFETs. High mobility (high permittivity) has

worse electrostatics and BTBT leakage but better transport properties………………81

Fig. 4.4. Schematic of the heterostructure DG FET compared to surface channel FETs.

Heterostructure FETs can combine the superior transport of a high mobility material

with the better electrostatics and leakage of a large bandgap material……………….81

Fig. 4.5. (a) Structure of the Si-strained Ge-Si heterostructure DGFET……………...83

(b) Device cross-section for the Si-strained Ge-Si heterostructure DGFET………….83

(c) Band structure and offsets for the Si-strained Ge-Si heterostructure DGFET……83

Fig. 4.6. Cross-section valence band profile for the Si-strained Ge-Si heterostructure

DGFET for different Ge percentage (X), TSi and TGe (Luttinger-Kohn 6x6 k.p

Schrodinger)…………………………………………………………………………..83

Fig. 4.7. Cross-section hole density for the Si-strained Ge-Si heterostructure DGET for

different Ge percentage (X), TSi and TGe (Luttinger-Kohn 6x6 k.p Schrodinger)……86

Fig. 4.8. Cross-section E-field profile for the Si-strained Ge-Si heterostructure DGFET

for different Ge percentage (X), TSi and TGe (Luttinger-Kohn 6x6 k.p

Schrodinger)…………………………………………………………………………..86

Fig. 4.9. Minimum OFF-state leakage (IOFF,min) for the DG heterostructure FET

compared to surface channel Si and Ge DGFETs. The reduction in BTBT leakage

(IOFF,min) is nearly 2 orders of magnitude for TGe<2nm……………………………….86

Page 30: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxx

Fig. 4.10. 2-D E-field profile near the drain end for the surface channel Si and Ge

DGFETs compared to the DG heterostructure FET. The E-field is maximum at the

gate-drain edge………………………………………………………………………..88

Fig. 4.11. Effect of TSi,Cap on BTBT leakage. Increasing TSi significantly lowers the

BTBT leakage due to lower E-fields in the Ge……………………………………….88

Fig. 4.12. Quantum mechanical increase in the apparent bandgap for 100% strained-

Ge quantum well confined between 1nm silicon capping layers. Quantum mechanical

effects become very significant for TGe < 3nm……………………………………….88

Fig. 4.13. Effect of TGe on BTBT leakage. Decreasing TGe significantly lowers the

BTBT leakage due to quantum-mechanical increase in the apparent bandgap and the

lower density of states………………………………………………………………...90

Fig. 4.14. Effect of varying both TSi and TGe for the same total thickness (TS=TSi+TGe)

on the BTBT as a function of the Ge percentage in the strained-SiGe layers………..90

Fig. 4.15. Different heterostructure DGFET structures simulated to benchmark the

SCE as a function of TSi, TGe, TS and LG. Y (=TGe/TSi%) was varied from 0% (surface

channel Si) to 100% (surface channel Ge)……………………………………………90

Fig. 4.16. DIBL as a function of LG and TS for different heterostructure DGFET

structures. A 5% increase in LG or 10% decrease in TS allows a 25% heterostructure

DGFET (worst SCE) to have the same DIBL as a surface channel Ge and Si DGFET,

respectively…………………………………………………………………………...91

Fig. 4.17. SS as a function of LG and TS for different heterostructure DGFET

structures. A 5% increase in LG or 10% decrease in TS allows a 25% heterostructure

Page 31: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxxi

DGFET (worst SCE) to have the same SS as a surface channel Ge and Si DGFET,

respectively…………………………………………………………………………...91

Fig. 4.18. Device structures used in the full-band Monte-Carlo simulations. The doped

Si-cap DG heterostructure FET (b) operates like a MOS-gated HEMT……………...94

Fig. 4.19. Valence band profiles for the three devices shown in Fig. 4.18 in OFF and

ON state. The holes are still strongly confined to the center of the channel………….94

Fig. 4.20. Monte-Carlo simulations of the carrier density though the channel for the

three devices shown in Fig. 4.18. CC heterostructure DG FETs have a 15% lower

capacitance than the Si surface channel DGFET……………………………………..94

Fig. 4.21. Monte-Carlo simulations of the reflection coefficient though the channel for

the three devices shown in Fig. 4.18. The backscattering coefficient at the source for

the Si surface channel DGFET is 40% higher than the CC heterostructure DG

FET.…………………………………………………………………………………...95

Fig. 4.22. Monte-Carlo simulations of the carrier velocity through the channel for the

three devices shown in Fig. 4.18. The injection velocity at the source for the CC

heterostructure DG FETs is 50% higher than the Si surface channel DGFET……….95

Fig. 4.23. Monte-Carlo simulations of the drive current for the three devices shown in

Fig. 4.18. The drive current for the CC heterostructure DG FETs is 50% higher than

the Si surface channel DGFET………………………………………………………..95

Fig. 4.24. Monte-Carlo simulations of the cut-off frequency for the three devices

shown in Fig. 4.18. The cut-off frequency for CC heterostructure DG FETs is nearly

2X higher (1000GHz) than the Si surface channel DGFET………………………….97

Page 32: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxxii

Chapter 5

Fig. 5.1. Device structure and band diagrams……………………………………….102

Fig. 5.2 (a). Islanding and defect formation in epitaxial films with high Ge

concentration………………………………………………………………………...102

Fig. 5.2 (b). Cross-sectional TEM of device………………………………………...102

Fig. 5.3. SIMS profile of an Si/SiGe superlattice annealed at 7500C (1h), 8000C (1h)

and 8500C (0.5h). Ge diffuses and piles up at the gate interface during thermal

oxidation……………………………………………………………………………..103

Fig. 5.4. (a)-(c) Interface states and defects generated as a result of Ge diffusion

toward the surface are a strong function of the TSi, cap for a given gate oxidation

condition……………………………………………………………………………..103

Fig. 5.5. C-V for the strained Ge (on bulk Si) channel devices……………………..105

Fig. 5.6. Is-Vg for the strained Ge (on bulk) devices………………………………..105

Fig. 5.7. Mobility versus Ninv with varying TSi, cap…………………………………..107

Fig. 5.8. BTBT (gate induced drain leakage, GIDL) with varying TSi, cap…………..107

Fig. 5.9. Maximum E-field in the Si cap and Ge channel…………………………...107

Fig. 5.10. Mobility and BTBT versus TSi, cap………………………………………..108

Fig. 5.11. Mobility versus Ninv with varying TGe channel…………………………...108

Fig. 5.12. BTBT (GIDL) with varying TGe channel…………………………………108

Page 33: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxxiii

Fig. 5.13. Factors affecting BTBT and TAT………………………………………...110

Fig. 5.14. Mobility and BTBT versus TGe channel showing that 2nm is optimum for

100% strained Ge on bulk Si………………………………………………………...110

Fig. 5.15. Lower E-field and quantization effects in the UT Ge channel reduce the

BTBT leakage in the heterostructure field-effect transistor (E(max) is around the

depletion layer near the surface at the Drain/Gate edge)……………………………110

Fig. 5.16. Designing optimal Si cap and Ge channel thickness under mobility

constraints…………………………………………………………………………..112

Fig. 5.17. (a) and (b) Device structure and band diagrams for the strained SiGe on SOI

device structure……………………………………………………………………...112

Fig. 5.18. Cross-sectional TEM of device showing TSicap=3nm, TGe=3nm and

TSOI=9nm…………………………………………………………………………….112

Fig. 5.19. Simulations using Luttinger-Kohn (6x6 k.p) Schrodinger solver showing the

effect of varying the TSicap, the TGe and the % Ge on the C-V………………………113

Fig. 5.20. Simulations using Luttinger-Kohn (6x6 k.p) Schrodinger solver showing the

effect of varying TSicap on the valence band profile and carrier distribution in the Si

and Ge layers at an Ninv=1013cm-2. Even for TSi,cap= 5nm, most of the carriers are

quantum confined in the strained SiGe layer………………………………………..115

Fig. 5.21. Simulations using Luttinger-Kohn (6x6 k.p) showing the effect of varying

the TGe and the %Ge on the band profile and carrier distribution in the Si and Ge

layers at an Ninv=1013cm-2. Due to the large band offset, even a 60% SiGe layer has a

strong confining potential for the carriers…………………………………………...115

Page 34: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxxiv

Fig. 5.22. Calculated ‘effective bandgap’ increase as a function of TGe, due to energy

level quantization, for a 100% strained Ge well confined between two 1nm Si capping

layers………………………………………………………………………………...117

Fig. 5.23. Experimental mobility vs Ninv. Peak mobility enhancement was 4X for the

strained SiGe on SOI device………………………………………………………...117

Fig. 5.24. Experimental Id-Vg characteristics of the strained SiGe on SOI PMOS. The

device has a degraded SS due to the thicker oxide (~35nm) and the Ge-related defects

at the interfacel, but exhibits good electrostatic control and low tunneling leakage

(<0.3nA)……………………………………………………………………………..117

Fig. 5.25. Id-Vd characteristics of the SiGe SOI device. The drive currents are very

high (>7X) compared to the SOI control due to the higher mobility and the reduced

Vth……………………………………………………………………………………118

Fig. 5.26. Electric field components in UT-SOI devices. Thinning down the SOI

thickness decreases the vertical E-field [E(z)] at the expense of the lateral E-field

[E(x)]. The lateral field plays an important role in the tunneling leakage of the SiGe on

SOI device…………………………………………………………………………...118

Fig. 5.27. Schematic showing the importance of the lateral E-field on tunneling

currents in Ultra-Thin strained SiGe on SOI devices……………………………….118

Chapter 6

Page 35: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxxv

Fig. 6.1. DG FET, with different channel materials, simulated in this study (Lg=15nm,

Ts=5nm, Vdd=0.7V)………………………………………………………………...124

Fig. 6.2. In-plane mobility for the various channel materials. Mobility dramatically

increases with increasing strain and Ge concentration……………………………...124

Fig. 6.3. Full-band Monte Carlo Drive current for the various channel materials. s-Ge

on r-SiGe and s-SiGe on r-Si (for x>0.8) exhibit the highest drive currents………..127

Fig. 6.4. Intrinsic delay for the various channel materials. s-Ge on r-SiGe and s-SiGe

on r-Si (for x>0.8) exhibit the highest performance………………………………...127

Fig. 6.5. I(OFF, min) is the minimum achievable leakage current in a MOSFET……...127

Fig. 6.6. IOFF,MIN for the various channel materials. s-Ge shows a dramatic reduction in

off-state leakage compared to r-Ge because of the lower leakage from the Γ-

valley………………………………………………………………………………...129

Fig. 6.7. fT vs IOFF,MIN for the various channel materials. (1,0.6) s-Ge shows an

optimum reduction (>10X) in off-state leakage compared to (1,1) r-Ge and

simultaneously achieving higher switching frequencies…………………………….129

Fig. 6.8. Comparing the relative performance (with r-Si as reference) of compressive

biaxially strained (1,0.6) s-Ge to (0,0) r-Si, (0,1) s-Si, (1,1) r-Ge and (1,0) s-

Ge……………………………………………………………………………………129

Fig. 6.9. Device structures – surface channel and heterostructures with different

channel materials…………………………………………………………………….132

Fig. 6.10. Band structure for the r-Si/s-Ge/r-Si heterostructure……………………..132

Fig. 6.11. I(OFF,min) for different materials. (0,1) s-Si shows the highest leakage……132

Page 36: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxxvi

Fig. 6.12. I(OFF,min) for the different materials compared to the heterostructure……..133

Fig. 6.13. Bandgap increases due to quantization…………………………………...133

Fig. 6.14. To benchmark the short channel effects, the ratio of TGe/Ts was varied

from 0% (surface channel Si) to 100% (surface channel Ge)……………………….133

Fig. 6.15 (a) and (b). Subthreshold Slope as a function of total channel thickness and

channel length……………………………………………………………………….135

Fig. 6.16 (a) and (b). DIBL as a function of total channel thickness and channel

length………………………………………………………………………………...135

Fig. 6.17. I(ON) for different materials. (1,0) s-Ge shows the highest leakage………135

Fig. 6.18. Effect of Ts variation on the I(ON) of the heterostructure…………………137

Fig. 6.19. Intrinsic delay of different materials. ~2nm heterostructure is

optimal……………………………………………………………………………....137

Fig. 6.20. Switching frequency vs leakage current to benchmark the different materials

and structures………………………………………………………………………..137

Chapter 7

Fig. 7.1. Schematic of the device structure and the models used to calculate ballistic

transport……………………………………………………………………………..141

Fig. 7.2 (a). Electron sub-band energies and occupancies for Si and Ge……………141

Page 37: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxxvii

Fig. 7.2 (b). Electron sub-band energies and occupancies for GaAs, InAs and

InSb………………………………………………………………………………….141

Fig. 7.3. Band-To-Band-Tunneling limited Off current in the different

materials……………………………………………………………………………..142

Fig. 7.4. Short Channel Effects in the different materials, (a) as a function of variations

in TS and (b) as a function of variations in LG………………………………………142

Fig. 7.5. Intrinsic gate delay vs. off-state leakage for all the different materials

considered…………………………………………………………………………...142

Fig. 7.6. Working of the DM DG FET compared to a conventional DG FET, with the

channel in the center as opposed to the channel at the surface……………………...144

Fig. 7.7. (left) Conventional DG FET with the peak carrier concentrations in regions

of high E-field at the surface and (right) the DMDG FET with the peak carrier

concentration in the center, where the E-field is zero……………………………….144

Fig. 7.8. Potential profile showing change from conventional DG FET to DM DG FET

operation as we increase the channel doping………………………………………..144

Fig. 7.9. Lowest energy wavefunction for the conventional DG and the DMDG device

in the ON state……………………………………………………………………….145

Fig. 7.10. The predicted E-field dependent mobility and the concentration dependent

mobility for Si and Ge……………………………………………………………….145

Fig. 7.11. (top) TEM image of a 4nm nanowire growing from a 3nm particle and

(bottom) HR TEM showing the lattice structure of a 3nm nanowire……………….147

Page 38: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxxviii

Fig. 7.12. (a) Tope view SEM of the device showing S/D regions, the nanowire bridge

on the SiO2 dielectric.

(b) Schematic side view of the device.

(c) Id-Vg curves for the device at different drain biases.

(d) Id-Vd curves for the device at different gate biases.

(e) The estimated mobility for the device as a function of gate bias………………..147

Fig. 7.13. Flowchart showing the simulation methodology used…………………...149

Fig. 7.14. Comparison of the Id-Vg for the worst-case ON device to the nominal for Si

and Ge……………………………………………………………………………….149

Fig. 7.15. Effect of the worst-case Process Induced Variation (PIV) for Si and Ge

devices, as we scale down from 25nm to 14nm……………………………………..149

Fig. 7.16. (a) Device geometry cross-sections along the channel direction and normal

to the nanotube. (b) Energy in the radial direction with the superimposed E-k

diagram………………………………………………………………………………151

Fig. 7.17. Energy distribution of the carriers and the chemical potential, in the

presence of a scattering center before the ballistic channel…………………………151

Fig. 7.18. Id-Vd plots for a (10,0) nanotube with d=0.8nm, tox=4nm, εox=20, EG =1eV

and L=100nm, with varying Schottky barrier heights………………………………152

Fig. 7.19. Id-Vd plots for a (19,0) nanotube with mid-gap schottky barrier and varying

oxide thicknesses…………………………………………………………………….152

Fig. 7.20. Id-Vd for a (19,0) nanotube (zigzag, dash-dotted line), (18,2) nanotube

(chiral,dashed line) and (16,5) nanotube (solid line)………………………………..152

Page 39: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

xxxix

Fig. 7.21. All possible (direct and indirect) tunneling paths, Γ-Γ, Γ-X and Γ-L are

captured by the model……………………………………………………………….154

Fig. 7.22. Ultra-thin body and larger quantization increases the effective bandgap and

lowers the tunneling rate…………………………………………………………….154

Fig. 7.23. Iso-leakage (Ioff,min) contours. The curves determine the maximum

allowable Vdd to meet the ITRS off-current requirement for a LG=15nm device at a

given body thickness. s-Si cannot be used for >0.5V operation, Ge and InAs can be

used for 0.9V operation when TBody <5nm. For TBody <7nm s-Ge can be used for >1V

operation. Si and GaAs comfortably meet the ITRS off-current specification because

of their large bandgap……………………………………………………………….154

Page 40: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

1

Chapter 1

Introduction

1.1 Motivation

Since their first practical demonstration in 1960 [1.1], the Silicon-based Metal Oxide

Semiconductor Field Effect Transistor (MOSFET) has become one of the most

important device in the semiconductor industry. Today's monoliththic Integrated

Circuits (ICs) use the MOSFET as a basic switching element for digital logic

applications and as an amplifier for analog applications. While the basic structure of

the MOSFET has remained unchanged Fig. 1.1, its size has been continually reduced

by a factor of two every 2-3 (Fig.1.2) years in accordance with Moore's Law [1.2].

This has resulted in chips that are significantly faster (Fig. 1.3) and have greater

complexity (Fig. 1.4) in every generation while continually bringing down the cost per

transistor (Fig. 1.5).

At present, in the 45nm high-performance processor technology, the physical gate

length is approaching 20nm, with gate oxides thinner than 9 angstroms. A

conventional exponential scaling based on the reduction of feature size obviously

Page 41: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

2

Fig. 1.1. Schematic of the bulk MOSFET structure

Fig. 1.2. Scaling the bulk MOSFET in accordance with Moore’s Law (source: Intel).

Fig. 1.3. The increase in chip performance as a consequence of scaling (source: Intel).

Page 42: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

3

Fig. 1.4. The increase in chip complexity as a consequence of scaling (source: Intel).

Fig. 1.5. The reduction in cost per transistor as a consequence of scaling (source: Intel).

Fig. 1.6. Decrease in the relative performance of the bulk MOSFET, due to fundamental and practical limits, as we scale to sub-20nm gate lengths (source: ITRS).

Page 43: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

4

cannot continue forever. As we scale into sub-45nm technology nodes, as illustrated in

Fig. 1.6, beyond the year 2010, fundamental as well as practical constraints start

limiting the performance of the conventional bulk Si MOSFET. The need to enhance

drive currents (Fig. 1.7) while scaling the transistor size and decreasing supply

voltage, has been accompanied with an exponential increase in the static, off-state

leakage of the device (Fig. 1.8). While the active power density on the chip has

steadily increased with gate length scaling, the static power density has grown at a

much faster rate (Fig. 1.9). The active power arises due to the dissipative switching of

charge between the transistor gates and supply/ground terminals during logic

switching. The sub-threshold power, also known as static or standby power, is

dissipated even in the absence of any switching operation. It arises due to the fact that

the MOSFET is not an ideal switch - there is still some leakage current that flows

through it in the off-state. Static power dissipation was a relatively insignificant

component of the total chip power just a few generations back, but it is now

comparable in magnitude to the active power. Management and suppression of static

power is one of the major challenges to continued gate length reduction for higher

performance. Once the scaling of the conventional bulk Si MOSFET starts slowing

down, the insertion of performance boosters, like novel materials and non-classical

device structures, will become necessary to continue to improve performance.

Page 44: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

5

Fig. 1.9. At the current rate, the exponentially growing static power dissipation will cross the active power consumption (source: Intel).

Fig. 1.7. Need to maintain an increase in the drive current (Ion) even at reduced supply voltages (Vdd) in the future (source: Intel).

Fig. 1.8. The increase in Ion with scaling is obtained at the expense of an exponentially growing off-state leakage (Ioff) (source: Intel).

Page 45: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

6

1.2 Organization

The thesis is organized as follows:

Chapter 1: Introduction

Chapter 2: Scaling To Sub-20nm MOSFETs

Chapter 3: Strained And Relaxed Silicon-Germanium Si(1-x)Ge(x) Alloys: Going

From Silicon (x=0) To Germanium (x=1)

Chapter 4: High Mobility, Low Band-To-Band Tunneling (BTBT) Strained

Germanium, Double Gate (DG), Heterostructure FETs: Simulations

Chapter 5: High Mobility, Ultra Thin (UT), Strained Ge MOSFETs On Bulk And SOI

With Low Band-To-Band Tunneling (BTBT) Leakage: Experiments

Chapter 6: Future Nanoscale p-MOSFETs

Chapter 7: Future Research Directions

Chapter 8: Conclusions

Page 46: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

7

Chapter 2

Scaling To Sub-20nm MOSFETs

As silicon CMOS technology advances into the sub-20nm regime, fundamental and

manufacturing limits impede the traditional scaling of transistors. Innovations in

materials and device structures will be needed for continued transistor miniaturization

and commensurate performance improvements. In this chapter, we look at the various

challenges encountered in enhancing the performance of nanoscale MOSFETs. We

review some of the current approaches to engineering the device structure and the

channel material to improve the overall device performance.

2.1 Challenges In Scaling Conventional Bulk MOSFETs

In a MOSFET, the gate is used as a control terminal to switch on or off a current

conduction channel between the source and drain terminals. The current carriers can

be either electrons (in an n-type MOSFET, called NMOS FET) or holes (in a p-type

MOSFET, called PMOS FET).

Page 47: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

8

In the traditional implementation of the bulk MOSFET, the gate electrode is made of

heavily (n or p) doped polycrystalline silicon. It is separated from the bulk silicon

substrate by a thin insulating dielectric layer of silicon dioxide. The channel region

underneath the gate is moderately doped. The source and drain regions, upon which

the other electrode contacts are formed, are heavily doped to form n-p (or p-n)

junctions to the oppositely doped substrate. The simplest transistor scaling approach

[2.1] involves reducing the vertical and horizontal dimensions, as well as the supply

voltage, by the same factor in an attempt to keep the electric fields in the scaled

MOSFET the same as before (constant-field scaling). However, actual scaling

implementations have been based on slightly modified approaches where the geometry

and voltage are reduced by different factors (generalized scaling).

Fig. 2.1 shows a schematic of the conventional transistor built on bulk-Si substrates

(bulk MOSFET), identifying the various problems faced in scaling the transistor.

2.1.1 Long Channel MOSFET

In early generation transistors with large gate lengths (long channel MOSFETs), the

vertical electric field in the channel (due to applied gate to source voltage) is much

larger than the lateral channel electric field (due to applied drain to source voltage). In

such a case (gradual channel approximation), the physics of transistor operation can be

partitioned into two independent portions, i.e. gate-controlled charge formation in the

channel, and drain-controlled charge transport. The threshold voltage VT, at which the

Page 48: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

9

device turns on, is dependent only on the gate voltage and is independent of the drain

voltage. Application of the gate voltage lowers a potential barrier near the source and

allows electrons to flow from source to drain. The sub-threshold swing is a measure of

how sharply the drain current increases as a function of gate voltage during switching

from 0V to VT. It is measured in mV/dec - mV of incremental gate voltage required to

change the drain current by one decade. Under normal transistor operation the

fundamental thermodynamics of Fermi-Dirac carrier statistics, constrains the sub-

threshold swing to be greater than 'kt/q' (or 60 mV/decade) at room temperature.

2.1.2 Short Channel Effects

The gradual channel approximation is just a simplification of the complicated two-

dimensional (2-D) electrostatics in the MOSFET channel. While the simplification

holds in long channel devices, as the gate length is reduced, the drain influence

becomes stronger. As a result, it becomes harder for the gate to control the source

barrier and turn off the channel.

The 2-D effects are manifested in various ways:

1) Reduction in threshold voltage with shrinking gate length (VT roll-off)

2) VT reduction with increasing drain voltage (drain induced barrier lowering – DIBL)

3) Degraded sub-threshold swing.

Collectively, these phenomena are known as ‘short channel effects (SCE)’ and they

tend to increase the off-state static leakage power. Thus far, device designers have

Page 49: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

10

tried to suppress SCE in short gate length devices by a number of methods. Each of

these approaches comes at a cost which degrades transistor performance (speed) and

introduces a new static leakage mechanism. Some of the important techniques and

their tradeoffs are described below:

A. Thinning Effective Oxide Thickness (EOT)

In order to suppress short channel effects, it is desirable to increase the electrostatic

control of the gate over the channel. This can be achieved simply by going to thinner

gate oxides. However, as the gate oxide gets very thin, quantum mechanical tunneling

allows a gate leakage component of current to flow. In the direct tunneling regime,

encountered for oxides thinner than about 3 nm, the gate leakage current increases

dramatically (~3X for every 1A of thickness reduction). The gate leakage can increase

standby power as well as compromise proper logic gate operation [2.2]. Many people

have proposed replacing the silicon dioxide (SiO2) with higher permittivity (high-k)

gate dielectrics [2.3] such as zirconia (ZrO2) or hafnia (HfO2). These enable high gate

capacitance with physically thick insulators through which tunneling is low. However,

the introduction of such new gate-stack materials without the accompanying

degradation of mobility and reliability is very challenging and remains an area of

intensive ongoing research. Fig. 2.2 illustrates some of the challenges associated with

introducing high-k gate dielectric stacks.

Page 50: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

11

Fig. 2.1. Schematic of the bulk transistor identifying the various problems faced in scaling.

Fig. 2.2. Schematic illustrating the problems associated with scaling the gate oxide and moving to high-k dielectrics.

From Saito et al, IEEE IEDM (2003)

Page 51: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

12

B. Increasing The Channel Doping

Increasing the channel doping helps in terminating the electric field lines, which

originate from the drain and propagate towards the source. In modern bulk MOSFETs,

the channel doping is tailored to have complicated vertical and lateral profiles (super-

halo doping) [2.4] so as to minimize the impact of gate length variations on the short

channel effects. However, as the doping density in the channel is increased for SCE

suppression, the carrier mobility is degraded due to increased scattering from the

ionized dopant atoms. Besides, the sub-threshold swing gets worse due to higher

depletion capacitance that ‘steals’ away part of the gate voltage from the surface

potential. For very high channel doping near the source/drain extensions, another

component of static leakage, band-to-band tunneling, becomes important. Finally, as

the channel volume reduces in extremely scaled transistors, the random placement of

discrete dopant atoms cause stochastic inter-device variations [2.5].

C. Reducing S-D Junction Depths

Lowering the source/drain junction depths (especially near the gate edge, where the

source/drain regions are called ‘extensions’) reduces the drain coupling to the source

barrier. However, as the source/drain junction depths get shallow, their doping must be

increased so as to keep the sheet resistance constant. Solid solubility of dopants puts

an upper limit (~1020 cm-3) on the doping density. Therefore, further reduction in

Page 52: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

13

junction depth causes an increase in the series resistance encountered in accessing the

channel. Also, from a technological point of view, it becomes difficult to form ultra-

shallow junctions that remain abrupt after the annealing steps needed to activate the

dopants and achieve low resistivity [2.6]. The formation of abrupt S-D junctions also

leads to an increase in the band-to-band tunneling leakage component. All these

factors degrade the overall transistor performance.

As a result of these (and other) problems, it is becoming clear that new materials

and/or structures will be needed to supplement or even replace the conventional bulk

MOSFET in future technology generations.

2.2 Nano-engineering The Device Structure For Better

Electrostatic Control

2.2.1 Partially-Depleted SOI MOSFETs

In the partially depleted silicon on insulator (PD SOI) transistor, shown schematically

in Fig. 2.3, a layer of insulating silicon dioxide separates the upper device-containing

film and the rest of the bulk Si substrate. The upper Si film is relatively thick (~100

nm or more) and is doped in the same way as a corresponding bulk transistor having

similar geometry. In the off-state condition, with zero voltage applied on the gate

Page 53: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

14

electrode, the maximum depletion width underneath the gate oxide is less than the Si

film thickness. The lower part of the partially depleted layer has a quasi-neutral region

in the body which is typically left un-contacted. The potential of this floating Si region

is determined dynamically by capacitive coupling to the various electrodes and in

steady state, by a balance of forward and reverse biased currents to the source and

drain junctions. This leads to a variety of floating body effects such as the parasitic

bipolar kink effect as well as history-dependent threshold voltage [2.7]. The main

advantage of the PD SOI device is somewhat higher speed and lower leakage due to

reduced source and drain area junction capacitances. The floating body effects can be

mitigated using ion implantation [2.8] or by placing a body contact [2.9]. By clever

circuit design, these history-dependent effects can actually be used for increased speed

[2.10]. PD SOI technology has been successfully ported from research into high

volume manufacturing [2.11]. However, from a static leakage and electrostatic

scalability perspective, the PD SOI transistor looks the same as a bulk FET. It may

therefore not be sufficient to prolong device scaling beyond the realm of what can be

achieved with well-designed bulk FETs.

2.2.2 Fully-Depleted SOI MOSFETs

If the top silicon film thickness of the PD SOI device is reduced, eventually the entire

Si body beneath the gate is depleted at zero applied gate bias. This kind of device is

called a fully depleted SOI (FD SOI) transistor [2.12]. It has also been referred to as

Page 54: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

15

the ‘depleted substrate transistor’ [2.13] in the published literature. A schematic of an

FD SOI FET is shown in Fig. 2.4. The film thickness below which the full-depletion

condition occurs depends upon (the square root of) the body doping. By eliminating

the quasi-neutral floating body, the kink effect and history-dependent behavior is

strongly suppressed. More important, the short channel effect control in the FD SOI

device is potentially superior to those in the bulk/PD SOI transistors. Smaller vertical

depletion widths improve the electrostatic gate control in any FET. In bulk/PD SOI

FETs, the small vertical depletion width is achieved by using high channel doping.

However, the use of very high channel doping has deleterious effects on transistor

performance in terms of degraded sub-threshold swing, reduced mobility, and

enhanced band-to-band tunneling at the drain. In FD SOI transistors, the vertical

depletion width can be controlled by the body thickness without requiring any channel

doping. This improves carrier transport since the mobility is enhanced, both due to

lower ionized impurity scattering, as well as due to lower vertical electric field for the

same channel carrier concentration. Furthermore, if the buried oxide is thick compared

to the Si body thickness and the gate oxide thickness, the long channel sub-threshold

swing approaches the ideal value of 60 mV/dec. at room temperature. However, a

thick buried oxide can potentially degrade the short channel performance. This is due

to the effect of fringing electric field lines originating at the drain, going through the

buried oxide, and lowering the barrier at the source to channel current. This is similar

to DIBL, but occurs through the buried oxide. One way to reduce this unwanted drain

action is to have a thin buried oxide so as to terminate most of the drain electric field

Page 55: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

16

Fig. 2.3. Schematic of the Partially Depleted (PD) SOI structure.

Fig. 2.4. Schematic of the Fully Depleted (FD) SOI structure.

GateGate

Silicon Substrate

Source Drain

TBOX

Si

SiO2

SOI

GateGate

Silicon Substrate

Source Drain

TBOX

Si

SiO2

SOI

Fig. 2.5. Schematic of the Double Gate (DG) MOSFET.

Page 56: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

17

lines at the back substrate. This is done at the expense of degraded sub-threshold

swing due to the capacitive divider between the gate, body and back substrate.

2.2.3 Multi-Gate (Double-Gate, Tri-Gate, Surround-Gate) MOSFETs

Multi-gate FETs in the FD-operation allow much better control of short channel

effects than single gate FD-SOI FETs. This is because of tight capacitive coupling of

the gates to the device channel region from more than one direction. The fundamental

electrostatic operation of all multi-gate FETs is very similar. The gate control grows

stronger as we go from double-gate (Fig. 2.5) to tri-gate (Fig. 2.6) to surround-gate

(Fig. 2.7) FETs by virtue of increased coupling. As an example, the working principle

of a DG-FET is described below.

The double-gate FET can be thought of as an enhanced version of an FD SOI

transistor with a very thin buried oxide (as thick as the gate oxide). Only now, the

back substrate is heavily doped and electrically connected to the top gate. Since there

is no capacitive potential division between the top and bottom gate, i.e. both of them

drive the substrate together, the gate to substrate coupling is perfect and the sub-

threshold swing for a well- behaved device is identically 60 mV/dec. In addition, the

short channel effect control is very good by virtue of a thin fully depleted body and

gate shielding of drain electric field lines from both sides. Due to the action of two

gates, the device can now be scaled to shorter gate lengths for the same body (and

oxide) thickness. Since the two gates and thin body are sufficient to suppress short

Page 57: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

18

Fig. 2.6. Schematic of the Tri-Gate (TG) MOSFET.

Fig. 2.7. Schematic of the Surround-Gate (SG) MOSFET.

Fig. 2.8 (a). Schematic of the Vertical MOSFET

Page 58: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

19

channel effects, the body is left undoped. This improves channel carrier transport due

to increased mobility resulting from lesser ionized impurity scattering and lower

vertical electric field. In addition, the undoped body is more immune to discrete

dopant fluctuation effects. There have been a number of experimental implementations

of research DG FETs with different orientations, vertical (Fig. 2.8(a)) [2.14], fin-type

(Fig. 2.8(b)) [2.15] and planar (Fig. 2.8(c)) [2.16]. In tri-gate and surround-gate MOS

transistors, crystal orientation effects could play an important role, as the carrier

transport occurs along various orientations.

2.3 Nano-engineering The Material For Enhanced Carrier

Transport

2.3.1 Impact Of Mobility On The Drive Current In Nanoscale

MOSFETs

It is expected that ballistic transport [2.17], where carriers have no scattering in the

channel, can be realized in extremely short-channel MOSFETs with gate length

<10nm. Thus, by modifying full ballistic motion by considering only a small number

of scattering events, quasi-ballistic transport models have been proposed to describe

the current drive in nanoscale MOSFETs [2.18, 2.19]. Fig. 2.9(a) and (b) illustrate the

Page 59: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

20

Fig. 2.8 (b). Schematic of the fin-FET.

Fig. 2.8 (c). Schematic of the planar multi-gate FET.

Fig. 2.9. Factors that dominate the current drive in (a) the classical drift model and (b) the quasi-ballistic model. [2.17-2.19]

Page 60: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

21

factors that dominate current drive in the classical drift model and the quasi-ballistic

model, respectively. In both models, the drive current is expressed as a product of the

surface carrier concentration and the velocity near the source region. Since the surface

carrier concentration is constant under fixed values of gate insulator thickness,

threshold voltage and gate voltage, an increase in the carrier velocity near the source

region is needed to enhance the drive current.

In the drift model, the velocity near the source region is strongly affected under non-

stationary transport by the low-field mobility near the source region, while the

velocity near the source region in the quasi-ballistic model is determined by the

injection velocity from the source and the back-scattering rate into the source. Both

these factors are also strongly related to the low-field mobility near the source region.

As a consequence, both the models predict an enhancement in the drive current of

nanoscale MOSFETs by increasing the low-field mobility near the source region.

There are several different approaches to enhance the carrier transport in future

MOSFETs to obtain higher drive currents. Some of the recent approaches use a

combination of novel high mobility channel materials [2.20], incorporating strain into

the channel (at the wafer level [2.21], through novel processes [2.22] or at the package

level [2.23]), finding optimal substrate/channel orientations [2.24] or a combination of

all of the above.

We will now briefly review some of these mobility enhancement techniques with an

emphasis on novel channel materials and strain.

Page 61: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

22

2.3.2 Transport In Strained Si

A. NMOS

Fig. 2.10 shows the equi-energy surfaces of inversion layer electrons and the two-

dimensional sub-band structure projected on a (100) surface. The conduction band in

Si is composed of six equivalent valleys. However, in inversion layers, due to two-

dimensional quantization, these six valleys are split into two-fold valleys located at a

central position in k-space and four-fold valleys located along the kx and ky axes.

Three-dimensional electrons have anisotropy in the effective mass. Each valley has a

light transverse mass (mt = 0.19m0) and heavy longitudinal mass (ml = 0.916m0),

where m0 is the electron mass in free space. As a result, the 2-fold degenerate valleys

have an effective mass mt parallel to the MOS interface and an effective mass ml

perpendicular to the interface. The 4-fold valleys have an effective mass of mt

perpendicular to the MOS interface and effective masses ml and mt parallel to the

MOS interface.

The anisotropy in effective mass leads to several differences in the transport properties

of the 2-fold and 4-fold valleys. For example, the mobility of electrons in the 2-fold

valleys is higher than in the 4-fold valleys. Also, since the sub-band energy level is

determined by the effective mass perpendicular to the surface, the sub-band energy of

the 2-fold valleys is lower than the 4-fold valleys.

Fig. 2.11 schematically shows the effect of tensile strain on the sub-band structure of

Page 62: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

23

Si [2.25]. When tensile strain parallel to the interface (or compressive strain

perpendicular to the interface) is applied to Si MOSFETs, the conduction band edge in

the 4-fold valleys becomes higher than in the 2-fold valleys and this splitting is added

to the sub-band energy difference caused by surface quantization [2.26-2.29]. This

leads to an increase in the mobility due to an increased occupancy in the 2-fold

valleys, which have a lower transport mass. Further, the energy level splitting causes a

suppression of the intervalley scattering between the 2-fold and 4-fold valleys. Fig.

2.12(a) shows the mobility enhancement factor of biaxially strained-Si n-MOSFETs

grown on relaxed SiGe substrates compared to conventional (unstrained) Si FETs. A

maximum enhancement factor of roughly twice is obtained both theoretically and

experimentally [2.30-2.44]. Fig. 2.12(b) shows the E-field dependence of the electron

mobility in strained and unstrained Si MOSFETs. In the high e-field regime, almost all

the electrons occupy the 2-fold valleys even without any strain, because of quantum

confinement effects. However, there is still a uniform mobility enhancement, which is

independent of the effective field, suggesting that the surface roughness scattering in

strained-Si FETs may be reduced compared to unstrained Si FETs [2.45].

B. PMOS

The impact of strain (uni-axial and bi-axial) on hole mobility is more complicated than

electrons and the physical mechanisms have not yet been fully understood [2.46-2.49].

Fig. 2.13 shows the results of theoretical calculations of the three-dimensional Si

Page 63: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

24

Fig. 2.10. Equi-energy surfaces of inversion layer electrons and the 2-D sub-band structure projected on a (100) surface.

Fig. 2.11. Effect of tensile strain on the sub-band structure of Si (conduction band).

Fig. 2.12 (a). Electron mobility enhancement factor of biaxially strained-Si n-MOSFETs grown on relaxed SiGe substrates.

Fig. 2.12 (b). E-field dependence of mobility in biaxially strained-Si compared to unstrained Si n-MOSFETs. [2.44]

Page 64: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

25

valence band structure near the Γ-point with uni-axial compressive strain and bi-axial

tensile strain [2.50]. Here, the MOSFET channel direction is assumed to be parallel to

<110>, the strain directions are parallel to (001) surface for the bi-axial strain and

<110> channel direction for the uni-axial strain, respectively. Application of strain

splits the normally degenerate light hole and heavy hole bands, causing the light hole

band to shift upwards to the top of the valence band. Further, the curvature of the

bands is modified, changing the hole effective mass parallel and perpendicular to the

interface.

Fig. 2.14(a) shows the experimental results and theoretical predictions of the hole

mobility enhancement factor (at low Eeff) of bi-axial Si p-MOSFETs fabricated on

relaxed SiGe substrates [2.31-2.41, 2.51-2.59]. An enhancement factor of about 2X

can be obtained with Ge content higher than 30%. However, as shown in Fig. 2.14(b),

the hole mobility enhancement factor decreases at higher Eeff. It has been found

experimentally (Fig. 2.15), that when uni-axial compressive strain is applied along the

<110> direction to p-MOS channels parallel to <110>, the hole mobility enhancement

is higher with rather small strain and is not significantly degraded with increasing

Eeff. These complicated dependencies of the hole mobility on strain are summarized

in Fig. 2.16, which is obtained from theoretical calculations.

The hole mobility enhancement by bi-axial strain is mainly attributed to the splitting

of the light hole and heavy hole bands, which causes an increase in mobility at low

Eeff [2.48-2.50, 2.60-2.62]. The contribution due to the change in effective mass is not

significant. At high Eeff, due to strong quantization, the band splitting between the

Page 65: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

26

Fig. 2.13. Theoretical calculations of the 3-D Si valence band structure near the Γ-point with uni-axial compressive strain and bi-axial tensile strain. [2.50]

Fig. 2.14 (a). Hole mobility enhancement factor of biaxially strained-Si p-MOSFETs grown on relaxed SiGe substrates.

Fig. 2.15. E-field dependence of hole mobility enhancements in uni-axial and bi-axial strained-Si compared to unstrained Si p-MOSFETs. [2.48]

Fig. 2.14 (b). E-field dependence of mobility in biaxially strained-Si compared to unstrained Si p-MOSFETs. [2.56]

Page 66: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

27

light hole and heavy hole bands decreases because the light hole band has a lower

effective mass perpendicular to the interface. This causes the hole mobility

enhancement to degrade at higher Eeff for bi-axially strained Si. For uni-axial strain,

in addition to the above mobility enhancement factors, the effective mass

perpendicular to the interface in the light hole band becomes heavier than the heavy

hole band (Fig. 2.13) [2.48-2.50, 2.63]. Thus, the band splitting is further enhanced at

high Eeff due to strong quantization resulting in most of the carriers to occupy the

light hole band with the lower transport mass. Thus, for uni-axially strained-Si

MOSFETs the hole mobility enhancement is large and retained even at higher Eeff.

C. Implementing Strain Into Si-MOSFETs

Global straining techniques can be used to introduce large bi-axial tensile strain in Si

MOSFETs (Fig. 2.17). Global strain can be implemented in any of the following

ways:

1) Epitaxially growing a strained-Si layer that is lattice matched to a relaxed SiGe

substrate [2.64-2.66].

2) Forming Strained-Si/relaxed-SiGe substrates on buried oxides (Strained-Si On

Insulator - SSOI) [2.65, 2.67, 2.68].

3) Bonding Strained-Si layers directly to oxides (Strained-Si Directly On Insulator -

SSDOI) [2.69-2.70].

Local straining techniques are more useful in introducing large uni-axial strain

Page 67: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

28

Fig. 2.16. Dependencies of hole mobility enhancements on uni-axial and bi-axial strain (compressive and tensile). [2.50]

Fig. 2.17. Different global straining techniques to introduce large bi-axial strain in Si MOSFETs.

Fig. 2.18. Different local straining techniques to introduce large strain in Si MOSFETs.

Page 68: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

29

(compressive or tensile) in Si MOSFETs (Fig. 2.18). Local strain can be implemented

by a combination of any of the following ways:

1) Epitaxially growing SiGe selectively in the source/drain regions, which have been

etched off towards the substrate (uni-axial compressive strain). This technique is used

for PMOSFETs [2.46, 2.47, 2.71].

2) Using a deposited SiN capping layer with intrinsic stress. (uni-axial tensile or

compressive strain). This technique can be used for NMOS or PMOS [2.72-2.74].

3) Using local strain from isolation techniques like shallow trench isolation [2.75],

gate electrodes [2.76], silicide regions [2.77] etc.

2.3.3 Transport in Germanium

Germanium and Silicon belong to the same group IV in the periodic table. Some of the

physical and electrical properties of Ge (Table. 2.1) along with the bandstructure are

compared with Si. As seen in Fig.2.19, Ge has a lighter transverse effective mass (mt)

for electrons and also a lighter effective mass in the heavy hole (mhh) and light hole

(mlh) bands compared to Si. As discussed earlier in this section, the lighter effective

mass in Ge could potentially allow us to obtain higher carrier mobility and drive

currents in Ge MOSFETs compared to Si MOSFETs.

A. NMOS

Page 69: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

30

Table 2.1. Physical and electrical properties of Ge compared to Si

Fig. 2.19. Conduction and Valence band structure of Ge and Si.

Page 70: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

31

As shown in Fig. 2.20(a) and (b), while the lowest valley for Si is the 6-fold

symmetric X-valley along [100], the lowest conduction valley for Ge is the 8-fold

symmetric L-valley along [111]. Thus, for both materials, the drive current in

MOSFETs will depend strongly on the surface and channel orientation [2.78-2.82].

Table 2.2(a) and (b) can be used to extract the effective mass for electrons in

MOSFETs fabricated along different crystal orientations for X-valley and L-valley

semiconductors.

From ballistic transport simulations [2.78] it has been found that due to their higher

injection velocity, Si (100) and Ge (111) provide the highest drive currents at the same

inversion charge (Fig. 2.21). Even under ballistic transport conditions, Ge (111) can

provide 60% higher drive current than Si (100). Further, due to strong quantum

confinement effects (either due to high E-fields in bulk MOSFETs or thinner body

thicknesses in FD-SOI/GOI structures), the valley population can change and modify

the injection velocity as well as the drive current. As seen from Fig. 2.22 and Fig.

2.23, for a constant inversion charge, as we go to thinner T-SOI/GOI thicknesses, an

enhancement of 42% can be obtained for TSOI<3nm and 160% for TGOI<1nm. This

can be easily understood in terms of the increased occupancy of the lowest sub-band,

which has the lowest transport effective mass leading to a higher injection velocity

(Fig. 2.24). The assumption of constant inversion charge is a big one. The quantum

capacitance due to the reduced density of states needs to be taken into account. To

evaluate the drive current enhancement, we would like to compare devices at a fixed

leakage current and supply voltage. Fig. 2.25 shows the Id-Vg characteristics for the

Page 71: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

32

Fig. 2.20 (a). The lowest valley for silicon is 6-fold symmetric and (b) the lowest valley for germanium is 8-fold symmetric.

Fig. 2.21. Drain saturation current of Si MOSFETs on (100) surface andGe MOSFETs on (100) and (111) surfaces as a function of NS. [2.78]

Fig. 2.22. Saturation current as a function of TSOI. [2.78]

Page 72: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

33

Table 2.2 (a) and (b) Effective mass of electrons in different valleys for different surface and channel orientations. [2.80]

Fig. 2.23. Saturation current as a function of TGOI. [2.78]

a

b

Page 73: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

34

different materials and orientations in the ultimate limit of zero EOT. In this extreme

situation, the gate capacitance (and consequently, the inversion charge) is determined

entirely by the quantum capacitance. In this situation, Ge (111) with a TGOI of 3nm

shows the highest drive current due to the best tradeoff between injection velocity and

quantum capacitance.

Though several theoretical calculations predict a significant enhancement in the

electron mobility in Ge n-MOSFETs, most recent experimental results have reported a

very poor Ge n-MOS performance (Fig. 2.26) [2.83, 2.84]. Since there is no stable

high quality native oxide on Ge, the poor performance of Ge n-MOS is usually

attributed to practical and technological challenges, primarily concerning the poor Ge

high-k insulator interface. Experimentally verifying electron mobility and drive

current enhancement in Ge MOSFETs is currently a very active research area.

B. PMOS

In contrast to Ge n-MOSFETs, there have been several encouraging experimental

results demonstrating hole mobility enhancements in Ge p-MOSFETs.

In [2.85], germanium p-MOSFETs with a thin gate stack of Ge oxy-nitride and low

temperature oxide (LTO) were fabricated on bulk Ge substrates without a Si capping

layer. The fabricated devices showed a 2X higher transconductance and ~40% hole

mobility enhancement over the Si control with a thermally grown SiO2 dielectric, as

well as good sub-threshold characteristics (<100mV/dec). See Fig. 2.27(a), (b) and(c).

Page 74: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

35

Fig. 2.24. Electron occupancy of the 1st ladder and lowest sub-band as a function of TSOI and TGOI. [[2.78]

Fig. 2.25. Ultimate Isat-Vg characteristics in the limit of zero nm EOT. [2.78].

Fig. 2.26. Typical Is-Vg characteristics for Ge n-MOSFETs, reflecting the poor drive currents obtained experimentally.

Page 75: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

36

Fig. 2.27 (a). Is-Vg characteristics (at low Vd bias) for the Ge PMOS, showing good sub-threshold behavior.

Fig. 2.27 (b). Transconductance of the Ge PFET compared to the Si control.

Fig. 2.27 (c). The effective mobility extracted on the Ge PFET compared to the Si control.

Page 76: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

37

Bulk Ge p-MOSFETs were fabricated on (100) substrates by using RTP nitridation in

ammonia followed by RTP HfO2 deposition as the gate dielectric [2.86]. The devices

show an enhancement of 1.4-1.8X in hole mobility compared to the silicon control.

The devices also show good sub-threshold behavior (~80mV/dec). See Fig. 2.28 (a)

and (b).

High quality GOI p-MOSFETs with Al2O3 gate dielectrics have also been

demonstrated [2.87]. The GOI devices show 2.5X increase in hole mobility over Si

control and 1.3X enhancement over the SiO2/Si universal mobility (Fig. 2.29).

C. Introduction to Strained-SiGe alloys

The effect of straining Ge or SiGe is qualitatively similar to silicon - the strain causes

a large change in the bandstructure (Fig. 2.30). For compressively strained SiGe, the

valence band splits, causing the heavy hole band to move upward [2.88-2.90]. Further,

the in-plane effective mass for heavy holes decreases and the band warping reduces

(Fig. 2.31). For large strain, the heavy hole band can have a lower in-plane mass than

the light hole band. Thus, strain can greatly enhance the hole mobility in SiGe alloys,

leading to very high drive currents in p-MOSFETs. Several experimental results on

strained-SiGe alloys [2.91-2.95] have demonstrated upto 10X peak mobility

enhancement of holes compared to the silicon universal mobility curve.

The very high channel mobility in strained-Ge combined with its ease of integration

into a conventional Si substrate technology, makes it a very attractive material for

Page 77: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

38

Fig. 2.28 (a). The Is-Vg characteristics for the Ge p-MOSFETs, showing good sub-threshold behavior.

Fig. 2.28 (b). The extracted effective mobility for the bulk G p-MOSFETS compared to the Si control and Si universal.

Fig. 2.29. The hole mobility of Ge, SiGe and Si p-FETs with Al2O3 dielectric compared to Si universal curve

Page 78: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

39

Fig. 2.30. The bandgap of (a) strained Si and (b) strained Ge on Si(1-x)Gex as function of underlying substrate Ge concentration (x). [2.88-2.89]

Fig. 2.31 (a) and (b). The contour lines of energy for the first valence band of (a) unstrained Si and (b) strained Si0.7Ge0.3 in the plane with kz=0 (vertical direction parallel to the plane).

a b

a b

Page 79: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

40

future nanoscale MOSFETs.

In the next chapter we will discuss the electrical properties, of Si(1-x)Gex alloys going

from Si(x=0) all the way to Ge(x=1) and the effect of strain on their bandstructure,

carrier effective mass and mobility. We will also look at the material properties,

growth technologies and state-of-the-art experimental research results on high

mobility p-MOSFETs utilizing strained-SiGe layers.

Page 80: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

41

Chapter 3

Strained And Relaxed Silicon-Germanium Si(1-

x)Ge(x) Alloys: Going From Silicon (x=0) To

Germanium (x=1)

The very high channel mobility in strained-SiGe combined with its ease of integration

into a conventional Si substrate technology, makes it a very attractive material for

future nanoscale MOSFETs. In this chapter, we look at the electrical and material

properties, the growth technologies, and some of the recent experimental results

obtained on strained-SiGe MOSFETs. We start by reviewing the electrical properties,

like bandstructure, effective mass and carrier mobility, of Si(1-x)Gex alloys going

from Si(x=0) all the way to Ge(x=1) and the effect of strain on the alloys. We then

discuss some of the key material properties and growth technologies, which are

currently being researched, to enable the use of strained-SiGe alloys as high mobility

channel materials in nanoscale MOSFETs. Finally, we look at some of the state-of-

the-art experimental research results on p-MOSFETs utilizing strained-SiGe layers

with high Ge fraction (>0.5) for hole mobility enhancement.

Page 81: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

42

3.1 Bandstructure And Electrical Properties Of SiGe Alloys

Using nonlocal empirical pseudopotentials, the band structures, effective masses and

shear deformation potentials of Si, Ge and SiGe alloys are computed by Fischetti and

Laux in [3.1]. Fitting the theoretical results to experimental data on the phonon-limited

carrier mobilities in bulk Si and Ge, the carrier mobilities were calculated as a function

of strain. Mobility degradation due to alloy scattering was included for the SiGe

alloys.

3.1.1 Bandstructure

The energy shifts at symmetry points are shown in Figs. 3.1-3.3. The case of Si and

Ge strained along the [001] direction are shown in Fig. 3.1(a) and (b) respectively. The

‘absolute’ energy scale has been fixed by arbitrarily setting the energy of the valence

band maximum to zero. The lines in Fig. 3.2 illustrate the calculated energy shifts for

the relaxed Si(1-x)Ge(x) alloy. Note, that there is satisfactory agreement with

experimental data. In particular there is good agreement with the ∆-to-L crossover of

the conduction band minimum at a Ge mole fraction of about 85%. The two cases of

Si(1-x)Ge(x) alloys grown on <001> Si (biaxial compressive) and <001> Ge

substrates (biaxial tensile) are illustrated in Fig. 3.3(a) and (b) respectively. The

bandgaps are slightly underestimated compared to previous work by Reiger and Vogl

Page 82: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

43

Fig. 3.1. Calculated valence and conduction band shifts in (a) Si and (b) Ge as a function of in-plane biaxial strain. [3.1]

Fig. 3.2. Valence and conduction band shifts for relaxed Si(1-x)Ge(x) alloys as a function of Ge mole fraction. [3.1]

Page 83: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

44

(Fig. 3.4 (a) and (b)) [3.2]. Note that for alloys grown on <001> Si, the alloys remain

“Si-like” with a Γ-∆ indirect gap, even in the case of pure Ge. However, for alloys

grown on Ge substrates, the gap crosses over from Γ-∆ to Γ-L at a Ge fraction of

about 85%.

For a more complete picture of the band structure of biaxially strained-SiGe alloys

grown on Si or Ge, we need the relative band-offsets and heterojunction

discontinuities at the interface. In [3.3], the structural and electronic properties of

pseudomorphic Si/Ge interfaces are calculated by Van de Walle and Martin. The

layers are strained, such that, the lattice spacing parallel to the interface is equal on

both sides. Fig. 3.5 shows the valence-band and conduction-band lineups for the

extreme cases in the [001] orientation: Fig. 3.5(a) for a heterojunction between cubic

Si and strained Ge (a=0.543 nm) and Fig. 3.5(b) for a heterojunction between cubic

Ge and strained Si (a=0.565nm). The gaps are predicted to be accurate to within

0.1eV. Fig. 3.6 shows the offsets for Si(1-x)Gex alloys grown on Si (001) substrates.

The distance between the top of the valence band and the lowest conduction band tells

us what the bandgap is in the alloy with Ge fraction x, appropriately strained to match

a Si (001) substrate. Fig. 3.7 shows results for an alloy layer deposited on a Ge

substrate. Here, we notice that in the range 0.8<x<1, the alloy bandgap is larger than

the Ge bandgap, which leads to a type-I (straddling) line up, with the Ge gap

completely inside the alloy gap.

3.1.2 Effective Mass

Page 84: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

45

Fig. 3.3 (a) and (b). Valence and conduction band shifts for (a) Si(1-x)Ge(x) alloys grown on a <001> Si substrate and (b) Si(1-x)Ge(x) alloys grown on a <001> Ge substrate. [3.1]

Fig. 3.4 (a). Calculated fundamental gaps of (100)-strained Si(1-x)Ge(x) alloys (active material) grown pseudomorphically on unstrained Si(1-y)Ge(y) alloys (substrate material). [3.2]

Page 85: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

46

Fig. 3.4 (b). Comparison of the theoretical and experimental data for the fundamental gap in unstrained and strained Si(1-x)Ge(x) alloys. [3.2]

Fig. 3.5. Band structure discontinuities: Relative position of the Si and Ge valence and conduction bands. Band splittings result from strain and spin-orbit splittings in the materials. [3.3]

Fig. 3.6. Valence and conduction bands in strained Si(1-x)Ge(x) alloys matched to a Si(001) substrate. [3.3]

Page 86: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

47

The values of effective masses computed (open symbols) at significant symmetry

points are shown in Fig. 3.8-3.10. They are given in units of the free electron mass m0,

and are compared to experimental data (solid symbols).

For electrons, the effective mass is simply the ‘curvature’ band-edge mass obtained

from the band structure results. For the ∆ valleys, the transverse and longitudinal

masses for both, the 2-fold [001] minima and the 4-fold [100] minima are presented.

Similar considerations are used for the L-valleys, which must also be characterized by

two characteristic masses: along the ]011[_

direction in the xy-plane and

]211[_

direction, normal to the longitudinal and transverse axis.

For the holes, the large anisotropy and non-parabolicity of the valence bands prevents

a simple definition of ‘curvature’ mass. Instead, the desity-of-states (DOS) effective

mass at the thermal energy Eth=3/2kBT (where kB is the Boltzmann constant and T the

lattice temperature) are plotted. The strong anisotropic shape of the strained equi-

energy surfaces in the valence bands has been discussed at length in [3.4, 3.5]. In Fig.

3.11 (a) and (b), the hole band-edge masses for the top most valence bands for Si(1-

x)Ge(x) alloys conformal to both <001> Si and Ge substrates. The strong reduction of

the effective masses is evident in all cases.

3.1.3 Mobility

After determining the bandstructure, effective mass and deformation potentials, we

Page 87: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

48

Fig. 3.7. Valence and conduction bands in strained Si(1-x)Ge(x) alloys matched to a Ge(001) substrate. [3.1]

Fig. 3.8. Calculated electron curvature mass and hole density of states mass as a function of biaxial in-plane strain. [3.1]

Page 88: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

49

Fig. 3.9. Electron and hole effective masses for relaxed SiGe. [3.1]

Fig. 3.10. Calculated electron and hole effective masses for compressive and tensile, biaxial strained SiGe. [3.1]

Page 89: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

50

can now evaluate the low-field mobility in strained materials. We will start with a

discussion of strained-Si and strained-Ge and then move to the SiGe alloys.

Fig. 3.12 shows the electron and hole mobility at 300K for Si under strain in the [001]

direction. For biaxial tensile strain in the plane of the layer, the in-plane electron

mobility increases sharply. Electrons populate the two lower ∆ valleys along [001]

directions with lower conductivity mass. At low strain, the slight increase in

conductivity mass and the slight reduction in intervalley scattering (g-type process is

still strong) cancel each other and do not play a significant role. Higher values of

mobility can be obtained if the reduction in the f-type intervalley scattering reduces

strongly as the degeneracy of the 2-fold and 4-fold valleys is lifted. Under the same

conditions, the ‘out-of-plane’ mobility drops equally abruptly, since the conductivity

mass is now the higher longitudinal mass. Under strain of the opposite sign, the in-

plane mobility first decreases, due to electron population in the heavier 4-fold valleys.

But a subsequent reduction in the transverse mass in these valleys causes a reduction

of the scattering rates, due to lower density of states effective mass, and so the

mobility goes up slightly. The out-of-plane effective mobility, controlled by the

transverse mass, remains higher.

The hole mobility, on the other side, increases spectacularly for strain of either sign.

Indeed, the principal causes are the breaking of the degeneracy between the heavy-

hole (v2) and light-hole (v1) valence bands at Γ and the reduction of conductivity

mass. For biaxial tensile strain in the plane of the layer, the v1 band exhibits a much

higher energy level than the v2 band, while for the strain of opposite sign, the splitting

Page 90: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

51

Fig. 3.11. Calculated band edge masses (in plane and out of plane) for the top most valence band in strained SiGe. [3.1]

Fig. 3.12. Calculated phonon limited electron and hole mobility in strained-Si. [3.1]

Page 91: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

52

of these two bands remains comparable to kBT. In the former case, interband scattering

is reduced and the mobility increases.

Fig. 3.13 illustrates the case of Ge under the same conditions. The situation is

qualitatively similar, as far as the hole mobility in concerned. The electron mobility,

however, exhibits a noticeably more complicated behavior. For biaxial tensile strain,

electrons begin to populate the conduction states at Γ, for all but the smallest strain.

This results in a dramatic enhancement of the mobility, due to the decreasing in-plane

effective mass for the Γ valleys. For larger than a few percent biaxial strain, the direct

bandgap closes fast and the calculation results are no longer meaningful. For small

compressive in-plane strain, the electrons populate mainly the L valleys. But as the

energy separation between the L and ∆ (100) valleys shrinks, the larger intervalley

scattering causes the mobility to decrease. For large values of compressive biaxial

strain, the electrons occupy the ∆ (100) valleys. The mobility now saturates to a value

approximately equal to the mobility in the ∆-valleys of unstrained Ge (~1040 cm2/V-

s).

Now, in the case of SiGe alloys, it is very important to consider another scattering

process – alloy scattering. This process is usually assumed to be caused by the

disorder component of the crystal potential, left out by the ‘virtual crystal

approximation’ [3.6]. Fig. 3.14 shows the calculated electron and hole mobility for

relaxed SiGe alloys as a function of their Ge content. As seen in the figure, due to

alloy scattering, relaxed SiGe alloys do not exhibit mobility enhancement except for

very high Ge content (>0.85), where they start behaving like Ge.

Page 92: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

53

Fig. 3.13. Calculated phonon limited electron and hole mobility in strained-Ge. [3.1]

Fig. 3.14. Calculated phonon limited electron and hole mobility in relaxed-SiGe. [3.1]

Page 93: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

54

Fig. 3.15 and 3.16, show the electron and hole mobilities, in SiGe alloys grown on

<001> Si and <001> Ge substrates, respectively. The mobility enhancement expected

from the removal of the valley/band degeneracy and reduced effective mass is strongly

negated by alloy scattering, except for very high values of strain. In the case of

compressively strained SiGe grown on Si, we expect to start observing a hole mobility

advantage for Ge fractions >0.3 and very high (~25X) enhancements for layers with

high Ge fractions.

3.2 Growth Technologies For Strained And Relaxed SiGe

Alloys

3.2.1 Growth Of Strained-Si(1-x)Ge(x) Layers On Si

Si and Ge are completely miscible for a full range of composition with a lattice

mismatch of ~4.2%, which can be helpful for generating strain. When a thin film with

a larger lattice constant e.g. Si(1-x)Gex is carefully grown on a substrate with a

smaller lattice constant (e.g. Si), the film retains the in-plane lattice constant of the

substrate and is under a biaxial compressive strain (Fig. 3.17). As discussed in the

previous section, due to the valence band modification in strained Si(1-x)Ge(x) layers,

hole mobility can be greatly improved in this structure as compared to Si.

However, growing strained-SiGe on Si is not easy. Due to the buildup of strain in the

Page 94: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

55

Fig. 3.15. Calculated phonon limited electron and hole mobility in compressive strained-SiGe on Si. [3.1]

Fig. 3.16. Calculated phonon limited electron and hole mobility in tensile strained-SiGe on Ge. [3.1]

Page 95: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

56

layer, the Si(1-x)Ge(x) layer grown on a Si substrate can be relaxed if it is thicker than

a critical thickness (Fig. 3.18) [3.7]. The equilibrium critical thickness for a Si(1-

x)Ge(x) film grown on a bulk Si substrate is approximated by the expression: Tcritical ≈

1.7793y-1.2371nm (Fig. 3.19) [3.8]. The layers can be metastable for low temperature

growth conditions but will quickly relax if they are annealed at high temperatures to

reach thermal stability. The stressed Si(1-x)Ge(x) layer will then try to relax by

producing defects and dislocations in the lattice, which can relieve the strain and

degrade the layer quality. Each misfit dislocation segment can possess two threading

dislocation segments that extend to the wafer surface to satisfy the continuity of a

Burgers circuit in a crystal (Fig. 3.20) [3.9].

Initial strained-SiGe epitaxial results were reported using layers deposited by

Molecular Beam Epitaxy (MBE) [3.10, 3.11]. However, these days, typically, SiH4,

GeH4 (or their derivatives) are the most common gases used for the SiGe epitaxy by

CVD. The pressure and temperatures vary greatly between reactors. For example,

pressures with values as low as 10-5 torr [3.12] and upto 1 atm have been reported. The

growth temperature depends on the pressure and also the Ge content, in order to

prevent defect formation or surface roughening due to 3-D island growth, but is

normally in the range of 500oC - 600oC for layers with moderate Ge concentrations

(<0.5) [3.13]. As shown in Fig. 3.21, we have successfully grown a defect free SiGe

layer with Ge fraction of 0.3 in the ASM reactor at Stanford [3.14-3.16].

As discussed earlier, we would like to move towards strained SiGe layers with very

high Ge fractions on account of their significantly enhanced transport properties.

Page 96: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

57

Fig. 3.17. Schematic illustrating biaxial strain created by lattice mismatch and epitaxial growth.

Fig. 3.18. Schematic illustrating defect-free strained growth and relaxed growth through misfit dislocations.

Fig. 3.19. Stable and metastable critical thickness plots

as a function of Ge fraction for strained Si(1-x)Ge(x) grown on Si substrates. [3.7]

Page 97: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

58

However, the growth of defect-free strained SiGe alloys with very high Ge

concentrations (>0.5) is far more challenging because the dislocation generation is

preceded by the formation of coherently strained Ge islands (Fig. 3.22) [3.17] and

consequently, the layer has a very rough surface (Fig. 3.23). For higher Ge

concentrations, the 3-D island formation due to stress driven surface diffusion can be

mitigated by depositions done at fairly low temperatures (<400oC) to achieve good

planarity [3.14-3.16]. To date, most strained-Ge growth on Si has been done on MBE

or UHVCVD systems because of the challenges in achieving low impurity

concentrations at low temperatures in LPCVD systems. However, as seen in Fig. 3.24,

we have successfully demonstrated LPCVD growth of defect-free ultra-thin (~2nm),

strained-Ge on Si [3.14-3.16] using germane as the source gas.

3.2.2 Strained Si(1-x) Ge(x) On Relaxed Si(1-y)Ge(y) Virtual

Substrates

As discussed in the previous section, the growth of Ge layers with very high Ge

fractions directly on Si is extremely challenging due to the stress driven formation of

3-D islands. One of the way to control the strain in high Ge concentration Si(1-

x)Ge(x) layers is to grow them on a relaxed virtual substrate with a different Ge

concentration, Si(1-y)Ge(y). For x>y, the top layer is a compressive biaxially strained

SiGe alloy and for x<y, the layer is a tensile biaxially strained SiGe alloy. Using this

technique, we can potentially go from the extreme case of y=0 and x=1, where we get

Page 98: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

59

Fig. 3.20. Misfit / Threading arm dislocation geometries for capped and uncapped Si(1-x)Ge(x) layers grown on Si.

Fig. 3.21. Defect free strained Si0.6Ge0.3 layer on Si (with Si cap) grown in the ASM reactor at Stanford.

Fig. 3.22. Coherently strained Ge nanoclusters on Si showing pyramids and domes. [3.10]

Page 99: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

60

compressively strained Ge on relaxed Si to the case of y=1 and x=0, where we get

tensile strained Si on relaxed Ge. We will now describe some techniques to grow

virtual substrates.

Early attempts to create virtual substrates employed a thick uniform Si(1-y)Ge(y)

deposited directly onto Si wafers. These buffers were not fully relaxed and contained

many threading dislocations. The high threading dislocation densities (>108cm-2) in

thick relaxed layers may be reduced by increasing the Ge concentration, in steps (step

grading) or linearly, in buffer layers (Fig. 3.25) [3.18-3.21] to finally achieve the

desired relaxed Si(1-y)Ge(y) concentration. The grading layers force the misfit

dislocation network to distribute along the graded layers, instead of all confined at the

Si(1-y)Ge(y) / Si interface, as in the case of uniform buffers. This reduces the

interaction between the misfits segments, where the interaction can produce threads

toward the surface, and a typical threading density of 1x105 cm-2 is commonly

reported. Since the dislocation glide is thermally activated, the highest velocities or

lowest defect densities are attained by growing at higher temperatures, typically

>750oC for Ge fraction < 0.5. A typical grading rate would be ~10%/micron [3.22].

This relaxed Si(1-y)Ge(y) on Si can now serve as a ‘virtual substrate’ (Fig. 3.26) for

the growth of a second strained Si(1-x)Ge(x) layer, which is lattice matched to the

Si(1-y)Ge(y) layer.

From the experiment discussed in [3.20-3.21], Fig. 3.27 shows two grown Ge graded

buffers. (Fig 3(a) and 3(b))Fig. 3.27(a) and (b) are at 600oC while the second is grown

Page 100: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

61

Fig. 3.23. SiGe alloy under large compressive stress leads to surface roughness, which reduces the elastic energy at the expense of an increased surface energy.

Fig. 3.24. Defect-free, ultra-thin (2nm) 100% strained-Ge on Si, using germane as the source gas, grown in the ASM reactor at Stanford.

Fig. 3.25. Schematic cross-section of the graded SiGe layer technique to create a defect-free, relaxed SiGe virtual substrate. [3.19]

Page 101: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

62

at about 800oC Fig. 3.27(c) and (d). It is clear from both cross-sectional TEMs, Figs.

3.27(a) and (c) show that there is a high density of dislocations in the Ge graded parts

of the structures but the thick constant composition Si(1-y)Ge(y) layers grown above

the graded regions are defect free. Many of the dislocations also thread down into the

Si substrate rather than up towards the surface. The high temperature during growth

produces faster growth rates, which allows a lower Ge gradient and also increases the

velocity of dislocations threading through the sample. Comparing the surface

morphology using scanning optical microscopy, Figs. 3.27(b) and 3(d) clearly

demonstrate the difference in surface roughness from the different grown

temperatures. Both wafers show the typical cross-hatch pattern along (110) shown by

all virtual substrates. The virtual substrates grown at higher temperature (800oC) were

of much better quality than those deposited at 600oC.

3.2.3 Forming SiGe-On-Insulator Substrates By The Ge

Condensation Technique

The combination of the high mobility Ge channel and ultra-thin GOI structure is

expected to improve device performance. Fig. 3.28 shows the process of Ge

condensation technique. A Si(1-x)Ge(x) with a low Ge fraction of 0.15 is grown on an

SOI substrate. Thermal oxidation is performed at a temperature lower than the melting

point of the SiGe alloy that is formed subsequently. During oxidation, Si is consumed

to form SiO2, but the Ge atoms are rejected into the substrate. By proper oxidation, the

Page 102: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

63

Fig. 3.26. Typical cross-section of a relaxed-SiGe virtual substrate grown on a Si substrate using graded SiGe as the transition layer. [3.22]

Fig. 3.27. Graded SiGe buffer layers grown at 6000C (a) and (b), and 8000C (c) and (d). The high temperature buffer is better quality and has lower surface roughness. [3.20-3.21]

Fig. 3.28. Schematic illustrating the Ge condensation technique. [3.23]

Page 103: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

64

total Ge in the layer is preserved. The Si and SiGe layer merge together as a result of

thermal diffusion, which results in an increase in the Ge fraction during oxidation as

the SGOI layer gets thinner. Local condensation can partially preserve the strain in the

resulting SiGe layer. The resulting GOI obtained in [3.23] was single crystal with the

same orientation as the original SOI substrate and a smooth interface (Fig. 3.29(a)).

The surface roughness was evaluated to be 0.4nm by AFM (Fig. 3.29(b)). The residual

Si fraction was found to be lower than the detection limit of Raman spectroscopy

(0.5%) and EELS (3%). The layer is still slightly defective and the strain is partially

relaxed by misfit dislocations and the plastic flow of the buried oxide. The GOI was

compressively strained with a strain of 1.1% estimated by Raman spectroscopy.

3.3 Current State-Of-The-Art Research On Strained-Ge p-

MOSFETs

3.3.1 Experimental Results

In this section, we will discuss some of the state-of-the-art-research research on high

mobility transistors fabricated on strained-SiGe alloys with very high Ge fractions.

SiGe based p-MOSFETs were fabricated on layers grown by low-energy PECVD

[3.24]. The heterostructure stack consisted of a strained SiGe (Ge=83%) alloy channel

grown on a graded relaxed SiGe(Ge=48%) buffer (Fig. 3.30). The Strained SiGe layer

Page 104: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

65

Fig. 3.29. (a) Cross-sectional TEM of GOI by condensation technique and

(b) AFM image of the GOI layer with rms roughness of ~0.42nm. [3.23]

Fig. 3.30. Cross-section of the Si0.17Ge0.83 channel MOSFET. [3.24]

Fig. 3.31. Effective carrier mobility in the Si0.17Ge0.83 channel p-MOSFET as a function of E-field compared to Si universal curves. [3.24]

Page 105: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

66

was 12.5 nm thick with a 5nm Si cap. The peak mobility at low field (0.1V/cm) was

~770cm2/V-s at 300K (Fig. 3.31). The mobility enhancement reduces at higher E-

fields due to conduction through the parasitic low mobility Si surface channel. The

effective hole mobility in the transistors was found to be ~4X enhanced compared to

the Si universal curve.

Strained-Ge p-MOSFETs, fabricated using a local condensation technique [3.25, 3.26]

applied to SiGe layer (with a low Ge fraction) grown on SOI, exhibited very high peak

mobility enhancement of ~10X over conventional bulk Si p-MOSFETs (Fig. 3.32).

The final GOI substrate had a Ge thickness of 25nm, a Ge fraction of 93% and a strain

of 1.3% . At higher E-fields, the mobility decreases to ~5X (Fig. 3.33). This was

attributed to significant roughness at the SGOI/oxide interface due to partial relaxation

of the strain. Further, the SiGe-oxide interface has a high density of interface states

and fixed charges and needs to be replaced by a better technology.

p-MOSFETs were fabricated on pseudomorphic Si/Si0.64Ge0.36 layers grown on Si

substrates [3.27] and the Si capping thickness was varied. The devices with thicker Si

capping layer exhibited high peak mobilities (~2.44X increase over Si control) but

lower mobility enhancements at high E-fields than those with a thinner Si cap (Fig.

3.34). The higher peak mobility in the devices with thicker Si cap was attributed to the

increased coulomb scattering due to interface states (Fig. 3.35(a)) and increased

surface roughness (Fig. 3.35(b)) in transistors with thinner Si caps. The decreased

mobility at higher fields was mainly because of higher conduction through the

parasitic Si surface channel.

Page 106: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

67

Fig. 3.32. Fabrication procedure for strained SGOI MOSFETs by a local condensation technique. [3.26]

Fig. 3.33. Efective mobility as a function of E-field for the SGOI p-MOSFETs compared to universal mobility in Si nMOS and pMOS. [3.26]

Fig. 3.34. Effective mobility vs NS for the SiGe devices. The onset of conduction at the SiO2/Si interface is marked by a cross (+). [3.27]

Page 107: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

68

Ge channel MOSFETs with biaxial compressive strain were fabricated [3.28] on

SixGe(1-x) (0.5<x<1) buffers grown on a Si substrate (Fig. 3.36). To avoid the

problem associated with the dielectric-germanium interface, a thin Si cap was grown.

The hole mobility measured on this device was found to be upto 10X higher compared

to bulk Si devices (Fig. 3.37(a)). The mobility dropped sharply to a 5X enhancement

as the thickness of the strained-Ge layer was decreased (Fig. 3.37(b)). Strained-Ge p-

MOSFETs fabricated on Si0.3Ge0.7 buffers [3.29] with a HfO2 dielectric exhibited a

hole mobility enhancement of 2X compared to bulk Si control devices (Fig. 3.38(a))

and also show encouraging sub-threshold behavior of 90mV/dec (Fig. 3.38(b)).

Starting from a SGOI wafer with 30% Ge concentration, strained-Ge channel p-

MOSFETs were fabricated [3.30] using two techniques – high temperature oxidation

to locally enrich the Ge concentration and UHVCVD growth of a strained-Ge layer

with a Si cap. The thermally mixed enriched Ge device had a Ge concentration of 67%

with 1.47% strain. Plasma SiO2 was used for the UHVCVD grown strained-Ge device

and nitridation followed by HfO2 was used as the gate dielectric for the TM strained-

Ge device. The devices showed reasonably good performance (~2.5-3X

transconductance improvement over the Si control) (Fig. 3.39(a) and (b)) but had very

poor sub-threshold characteristics and off-state leakage (Fig. 3.40(a) and (b)). This

was mainly attributed to defects in the strained-Ge layer for the UHVCVD Ge device

and the poor high-k dielectric interface for the TM Ge device.

Thus, the extremely high mobility of strained-SiGe (with very high germanium

fraction) combined with its ease of integration onto a Si substrate technology a very

Page 108: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

69

Fig. 3.35 (a). Interface trapped charge density distributions vs energy measured from the valence band edge of silicon, for the SiGe device with a 2nm Si cap and the epitaxial Si control. [3.27]

Fig. 3.35 (b). Calculated rms interface roughness scattering potential at the SiO2/Si interface and at the Si/SiGe interface for different Si capping layer thickness. [3.27]

Fig. 3.36. Schematic of the strained-Ge MOSFET. [3.28]

Page 109: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

70

Fig. 3.37 (a). Hole effective mobility vs Ninv of the strained-Si/strained-Ge dual-channel heterostructures grown on SiGe. [3.28]

Fig. 3.37 (b). The hole effective mobility for the dual-channel heterostructures as a function of the Ge layer thickness. [3.28]

Fig. 3.38 (a). Comparison of extracted mobility for strained-Ge, bulk Ge and Si control p-MOSFETs. [3.28]

Page 110: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

71

Fig. 3.38 (b). Typical Is-Vg characteristics for the strained-Ge p-MOSFETs. [3.29]

Fig. 3.39 (a). Transconductance of the PMOS with HfO2 gate oxide on 60% SiGe channel formed by local thermal mixing compared to Si-HfO2 control. [3.30]

Fig. 3.39 (b). Transconductance of the PMOS with remote plasma oxide on 100% Ge channel formed by selective UHVCVD compared to Si-control with the same oxide. [3.30]

Page 111: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

72

Fig. 3.40 (a). Sub-threshold of the PMOS with HfO2 gate oxide on 60% SiGe channel formed by local thermal mixing compared to Si-HfO2 control. [3.30]

Fig. 3.40 (b). Sub-threshold of the PMOS with remote plasma oxide on 100% Ge channel formed by selective UHVCVD compared to Si-control with the same oxide. [3.30]

Page 112: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

73

attractive material, compared to even relaxed Ge, for future high-peformance p-

MOSFETs.

3.3.2 High-Mobility / Narrow-Bandgap Tradeoffs

Most of the previous research on Ge and strained-Ge MOSFETs has focused on

obtaining high mobility. However, as we shall see in the next chapter, merely

obtaining high carrier mobility is not enough for future nanoscale MOSFETs.

Eventually, we need a good trade-off between Ion/Ioff, or more accurately, high

performance demands a good tradeoff between low power dissipation and fast

switching speeds.

In achieving this goal, we find there are several fundamental and practical problems

associated with Ge based devices:

1) The smaller bandgap in Ge leads to exponentially higher leakage currents, much

lower breakdown fields and greatly reduced operating temperature.

2) The higher dielectric constant makes it easier for the electric-field from the drain to

penetrate to the source, thus making its short channel effects and sub-threshold slope

worse.

3) The lack of a native grown insulator and adequate surface passivation techniques

with high-k dielectrics on Ge, leads to very poor quality and defective interfaces,

which then significantly degrade the device performance in terms of transport, gate

control and leakage.

Page 113: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

74

We will now introduce the heterostructure device concept, which addresses several of

these issues associated with novel high mobility / small bandgap materials. In

particular, we will study, through detailed experiments and simulations, a very high

performance Si/strained-SiGe/Si heterostructure p-MOSFET, which solves several of

the problems in strained-Ge devices.

Page 114: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

75

Chapter 4

High Mobility, Low Band To Band Tunneling

(BTBT), Strained Germanium, Double Gate (DG),

Heterostructure FETs : Simulations

Large Band-To-Band Tunneling (BTBT) leakage currents can ultimately limit the

scalability of high mobility (small bandgap) materials. In this chapter, we present a

novel Heterostructure DGFET, which can significantly reduce the BTBT leakage

currents, while retaining its high mobility, making it suitable for scaling into the sub-

20nm regime. In particular, through 1-D Poisson-Schrodinger, full-band Monte-Carlo

and detailed BTBT simulations, we thoroughly analyze the tradeoffs between carrier

transport, electrostatics and BTBT leakage in high mobility, sub-20nm, Si - strained

SiGe - Si (high germanium concentration), heterostructure, PMOS DGFETs. Our

results show a dramatic (>100X) reduction in BTBT and excellent electrostatic control

of the channel, while maintaining very high drive currents and switching frequencies,

in these nano-scale transistors.

Page 115: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

76

4.1 Introduction

As we continue to aggressively scale transistors in accordance with Moore’s Law to

sub-20nm dimensions, it becomes increasingly difficult to maintain the required

device performance. Currently, the increase in drive currents for faster switching

speeds at lower supply voltages is largely at the expense of an exponentially growing

leakage current, which leads to a large standby power dissipation. There is an

important need to explore novel channel materials and device structures, which would

provide us with high performance nano-scale MOSFETs. Due to their significant

transport advantage, high mobility materials are very actively being researched as

channel materials for future highly scaled CMOS [4.1-4.8, 4.16, 4.20]. However, most

high mobility materials also have a significantly smaller bandgap compared to Si

leading to very high BTBT leakage currents, which may ultimately limit their

scalability. In this chapter, we present novel heterostructure DGMOSFETs, which can

significantly reduce the BTBT tunneling leakage and fully exploit the advantage

offered by high mobility channel materials, while retaining excellent electrostatic

control of the channel. In Sec. 4.2, we discuss various scaling issues related to high

mobility materials and introduce the general working and device structure of the

heterostructure DGMOS device. From Sec. 4.3 - Sec. 4.6, we look at a particular

heterostructure DGMOS with a high mobility, strained-Si(1-x)Ge(x) center channel (CC)

flanked by two Si caps on either side. In ultra-thin (UT) quantum wells formed by

heterojunction band offsets, quantum mechanical effects become very important due

Page 116: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

77

to strong carrier confinement. Through 1-D Poisson-Schodinger [4.12] and k.p

Luttinger-Kohn density gradient [4.13] simulations, the device working and quantum

effects in the heterostructure DGFET device are discussed in Sec. 4.3. In Sec. 4.4, we

address the important issue of BTBT leakage in high mobility materials and analyze

the heterostructure DG MOSFET through detailed simulations. The BTBT simulations

take into account the strain effects on the effective mass and bandgap, (direct-indirect)

tunneling between the different valleys and quantum mechanical effects in the DGFET

[4.9-4.11]. The electrostatics and Short Channel Effects (SCE) of the DG

heterostructure MOSFET are benchmarked against control Si and control Ge surface

channel DGFETs in Sec. 4.5. For nanoscale channel length devices, Full-Band Monte-

Carlo simulations [4.14-4.16] are the most insightful in capturing the transport

properties of the device. In Sec. 4.6, through Full-Band Monte-Carlo simulations, we

look at the eventual performance of the heterostructure DGMOS in terms of drive

current and cut-off frequencies for low power or high performance applications.

4.2 Double Gate Heterostructure FETs

In order to maintain and enhance conventional Si-based CMOS device performance,

new high mobility channel materials are actively being researched [4.1-4.8, 4.15, 4.16,

4.20]. One of the biggest concerns as we continue to scale MOS devices into the sub-

Page 117: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

78

20nm regime is the exponential growth in the leakage current. The different significant

components of channel leakage are shown in Fig. 4.1. (Assuming an ideal high-k gate

dielectric technology - gate leakage has not been addressed in the present study).

Conventionally, the exponentially rising diffusion (or sub-threshold) current, due to

lowered threshold voltages has been the dominant leakage mechanism in Si

MOSFETs. However, with continued device scaling into the nanometer regime and

the increasing E-fields in the channel, there is also an exponential growth in the

tunneling components (BTBT and direct S-D tunneling) of leakage. Fig. 4.2(a) and

4.2(b) show the material parameters of low effective mass (high mobility)

semiconducting channel materials. A universal trend is observed with respect to the

bandgap and the dielectric constant of low effective mass semiconductors. As we push

towards lower effective mass (high mobility) channel materials, the bandgap rapidly

drops and the dielectric constant increases. As seen in Table 4.1, most high mobility

materials like Ge, InAs, InSb have a significantly lower bandgap compared to Si. Due

to the increasing E-fields in the channel and the smaller bandgap in these high

mobility materials, the BTBT leakage current can become excessive and will

ultimately limit the scalability of high mobility channel materials. Another point to

note is that since most high mobility materials also typically have a higher permittivity

(κs), they also suffer from worse short channel effects. In an attempt to understand this

from the perspective of the electrical characteristics of a MOSFET, Fig. 4.3 shows a

schematic of an Id-Vg semilog plot for three hypothetical devices A, B, C. Device A is

the control device with a wide bandgap, low κs , low mobility channel. Device B and

Page 118: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

79

Table 4.1. Carrier mobility and bandgap of some commonly used semiconductors

Fig. 4.1. Dominant leakage paths in nanoscale high-mobility CMOS devices. High-mobility (low-bandgap) materials suffer from excessive BTBT leakage.

Fig. 4.2 (a)

Fig. 4.2. Tradeoffs between effective mass (m*), bandgap (EG) and dielectric constant (κS) in semiconducting materials.

Fig. 4.2 (b)

Page 119: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

80

C are high mobility devices with smaller bandgaps and higher κs. Since both B and C

have worse short channel effects compared to devices A, we find that for a matched

IOFF, depending on the strength of the electrostatic control to the mobility advantage, a

high mobility device with worse short channel effects can either perform significantly

better (B) or significantly worse (C) than the control (A). Further, depending on the

magnitude of the IOFF specification, the device may meet (B) or fail to meet (C) even

the minimum off-state leakage (IOFF, MIN) requirements due to significant BTBT

leakage in the narrow bandgap semiconductors. In other words, we require a

combination of good electrostatic control, excellent transport and low BTBT leakage

in order to enhance device performance.

The schematic of the heterostructure DG MOSFET, introduced in this work, is shown

in Fig. 4.4. It consists of a high mobility - narrow bandgap material sandwiched

between two layers of a lower mobility - wider bandgap material. The goal is to make

the device conduct through the high mobility - narrow bandgap region in the center in

the ON state and leak through the low mobility - higher bandgap region at the surface

in the OFF state. This combines the advantage of the transport properties of the high

mobility material with the leakage immunity of the larger bandgap material. In the

remaining sections, we will specifically analyze this device structure implemented in a

Si / strained-Ge / Si DG PMOS configuration.

4.3 Device Working And Quantum Mechanical Effects

Page 120: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

81

Fig. 4.3. Tradeoffs between BTBT leakage, transport properties, and electrostatic control in nanoscale high-mobility MOSFETs. High mobility (high permittivity) has worse electrostatics and BTBT leakage but better transport properties

Fig. 4.4. Schematic of the heterostructure DG FET compared to surface channel FETs. Heterostructure FETs can combine the superior transport of a high mobility material with the better electrostatics and leakage of a large bandgap material.

Page 121: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

82

The device structure, cross-section and band diagram of the Si / strained-SiGe / Si DG

heterostructure PMOSFET is shown in Fig. 4.5(a), (b) and (c). The band offsets used

in this study are illustrated in Fig. 4.5(c) and the effective masses are taken from [4.9,

4.10, 4.17]. The device consists of a high hole mobility, strained-SiGe layer

sandwiched between two Si caps. The large valence band offset between strained-

SiGe and Si creates a quantum well, which confines the holes in the center of the

device away from the dielectric interface. As the Ge concentration (X) in the Si(1-x)Gex

layer is increased, the valence band offset becomes larger and the effective mass

drops, leading to stronger carrier confinement and a higher mobility channel. The most

critical parameters, which determine the device performance in the heterostructure

DGFET, are the Si cap thickness (TSi), the percentage (X) of the Ge in the strained

SiGe and its thickness (TGe). The total semiconductor thickness is denoted as TS (=

TSi1 + TGe + TSi2). Quantum mechanical simulations were performed using a Luttinger-

Kohn (6x6 k.p) Schrodinger solver [13] to understand the basic device working and to

illustrate the role of each of these parameters (TGe, TSi and X). Fig. 4.6 shows the

cross-sectional valence band profiles for three different DG heterostructure FETs, with

varying TGe, TSi and percentage X, in the ON state (Ninv=2x1013 cm-2) compared with a

control, surface channel Si and surface channel strained Si(1-x)Ge(x) with X=100%. The

highest point in the strained SiGe valence bands are all nearly aligned to the Si due to

the fact that most of the charge is supplied by the Ge layer. In the case of the ultra-thin

(UT) TGe = 1nm layer, the valence band is higher than the others due to strong

quantum confinement, which raises the lowest allowed energy level from the bottom

Page 122: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

83

Fig. 4.5. (a) Structure of the Si-strained Ge-Si heterostructure DGFET. (b) Device cross-section for the Si-strained Ge-Si heterostructure DGFET. (c) Band structure and offsets for the Si-strained Ge-Si heterostructure DGFET.

Fig. 4.6. Cross-section valence band profile for the Si-strained Ge-Si heterostructure DGFET for different Ge percentage (X), TSi and TGe

(Luttinger-Kohn 6x6 k.p Schrodinger).

Page 123: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

84

of the valence band. The hole density in the ON state (Ninv=2x1013 cm-2) is shown in

Fig. 4.7. As seen from the figure, because of quantum mechanical effects in the thin

body (TS=5nm) and lower E-fields, even in the surface channel DG FET most of the

carriers are confined towards the center of the device. However, in the case of the

heterostructure, the confinement is stronger. The effect increases as the Ge percentage

in the SiGe in increased and as the TGe is decreased. The E-field through the

perpendicular cross section of the device is shown in Fig. 4.8. Due to the symmetry of

the DG structure, the E-field rapidly falls-off to zero in the center of the channel. As

the Ge concentration is increased and TGe is decreased, the carriers are strongly

confined in the center of the channel where the E-field is close to zero.

4.4 Band-To-Band Tunneling (BTBT) Leakage

As we switch a MOSFET off, at high drain bias the E-field from the drain to the gate

becomes very high causing a large band bending, which leads to BTBT leakage.

Typically, in DGFETs, due to the undoped body, the BTBT leakage can be lower than

for bulk Si MOSFETs. However, with the introduction of high mobility (small

bandgap) materials, due to the thinner tunneling barrier and lower tunneling mass, the

BTBT becomes severe and can ultimately limit the device scalability. BTBT

simulations were performed for the various DG MOSFET devices, taking into account

the effect of strain (on the effective mass and the bandgap), quantum mechanical

Page 124: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

85

effects in the ultra-thin body and the (direct-indirect) transitions between the different

valleys. In highly quantized Si crystallites, zero phonon transitions can be observed.

However, for the geometries that are being considered in this study, this effect is at

least an order of magnitude weaker than the phonon assisted transitions [4.19]. In the

case of strained Si0.4Ge0.6 the band gap reduces to ~0.65eV, while for 100% fully

strained-Ge grown on relaxed Si, the band gap drops to ~0.45eV [4.10, 4.15]. This

small bandgap (compared to 1.1eV for Si) is very highly susceptible to BTBT leakage.

The surface channel strained-Ge DG MOSFET leaks nearly 4 orders of magnitude

higher than the corresponding Si device. As shown in Fig. 4.9, the heterostructure

DGFET can effectively suppress the BTBT leakage by >2 orders of magnitude (for

TGe<2nm) compared to the surface channel device. This is because, of two main

reasons, the E-field distribution relative to the device geometry and the quantum

mechanical band gap increase.

Fig. 4.10(a), (b) and (c) show the 2-D E-field distribution for a strained Si0.4Ge 0.6

device with TGe=1.2nm, TS=6nm. As seen in the figure, the maximum E-field point is

always at the edge of the Gate-Drain region. In the case of the surface channel strained

Si0.4Ge0.6 DG MOSFET, this large E-field occurs in the small bandgap region leading

to very high BTBT leakage current. However, in the case of the heterostructure

DGFET, this maximum E-field still occurs in the Si just as in the case of the surface

channel Si DGFET. Thus, the BTBT is efficiently suppressed. The effectiveness of the

Si cap in reducing the BTBT is shown in Fig. 4.11.

Page 125: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

86

Fig. 4.7. Cross-section hole density for the Si-strained Ge-Si heterostructure DGFET for different Ge percentage (X), TSi and TGe (Luttinger-Kohn 6x6 k.p Schrodinger).

Fig. 4.8. Cross-section E-field profile for the Si-strained Ge-Si heterostructure DGFET for different Ge percentage (X), TSi and TGe (Luttinger-Kohn 6x6 k.p Schrodinger).

Fig. 4.9. Minimum OFF-state leakage (IOFF,min) for the DG heterostructure FET compared to surface channel Si and Ge DGFETs. The reduction in BTBT leakage (IOFF,min) is nearly 2 orders of magnitude for TGe<2nm.

Page 126: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

87

As the TGe is reduced, due to quantum mechanical confinement, the lowest allowed

energy level rises and the spacing between successive ladders increases. This quantum

mechanical confinement appears as an apparent bandgap (Eg) increase and a reduction

in available density of states from 3-D to 2-D. The apparent bandgap increase, due to

confinement in the channel as TGe is decreased, in a 100% strained-Ge quantum well

confined between 1nm silicon capping layers, is shown in Fig. 4.12. This apparent

increase in the bandgap can help in exponentially reducing the tunneling currents as it

increases the tunneling distance and lowers the density of states. The effect of TGe in

reducing the BTBT leakage is shown in Fig. 4.13. As seen in the figure, the reduction

of the leakage is substantial (>~2 orders of magnitude).

The combined effect of both TSi and TGe is shown in Fig. 4.14. In this device, the TS is

kept fixed at 8nm, while the TGe is varied all the way from 0nm (surface channel Si) to

8nm (surface channel SiGe). The effect of increasing the Si thickness and the

decreasing Ge thickness can significantly reduce the BTBT currents by several orders

of magnitude. Further, we also find that, as expected, a lower Ge percentage gives

lower BTBT leakage due to the larger bandgap and heavier tunneling mass. In

conclusion, the heterostructure DGFET allows us to use TGe, the Ge percentage and

TSi as effective tools to control the BTBT leakage current.

4.5 Electrostatic Channel Control

Page 127: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

88

Fig. 4.10. 2-D E-field profile near the drain end for the surface channel Si and Ge DGFETs compared to the DG heterostructure FET. The E-field is maximum at the gate-drain edge.

Fig. 4.11. Effect of TSi,Cap on BTBT leakage. Increasing TSi

significantly lowers the BTBT leakage due to lower E-fields in the Ge.

Fig. 4.12. Quantum mechanical increase in the apparent bandgap for 100% strained-Ge quantum well confined between 1nm silicon capping layers. Quantum mechanical effects become very significant for TGe<3nm.

Page 128: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

89

The primary concern with heterostructure FETs is the reduced electrostatic control due

to the channel being further away from the gate insulator interface. Short channel

effects are very important in eventually determining the device performance and must

be evaluated accurately. In order to accurately capture and benchmark the short

channel effects for the heterostructure DG FET, simulations were performed by

varying TSi, TGe, LG and TS. The simulation space can be easily understood from Fig.

4.15. As shown in the figure, for a given TS and LG, several sets of simulations were

performed, where TGe/TS goes from 0% (surface channel Si) to 100% (surface channel

Ge). Then, using TS and LG as parameters, the Drain Induced Barrier Lowering

(DIBL) is plotted in Fig. 4.16(a) and (b) and Sub-threshold Slope (SS) is plotted in

Fig. 4.17(a) and (b).

As seen from Fig. 4.16(a) and (b), the DIBL is the best for the surface channel Si

(TGe/TS = 0%). The surface channel Ge (TGe/TS = 100%) shows slightly worse DIBL

due to its higher dielectric constant and the worst DIBL occurs in the device where

TGe/TS = 25%, as the channel is the farthest from the gate for this device. However, the

overall DIBL values for all the devices are excellent and quite comparable. Around the

nominal operating point of LG=TS/2 to TS/3, the DIBL is <20mV/V higher than the

surface channel Si and <10mV/V compared to the surface channel Ge. The DIBL is

around ~5% worse for the worst-case (TGe=25%) device, meaning that its LG would

have to be ~5% longer or TS ~5% thinner to achieve the same electrostatic control as

compared to the Ge surface channel (and 10% compared to the Si surface channel).

From Fig. 4.17(a) and (b), we find that the subthreshold slopes (SS) are also excellent.

Page 129: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

90

Fig. 4.13. Effect of TGe on BTBT leakage. Decreasing TGe

significantly lowers the BTBT leakage due to quantum-mechanical increase in the apparent bandgap and the lower density of states.

Fig. 4.14. Effect of varying both TSi and TGe for the same total thickness (TS=TSi+TGe) on the BTBT as a function of the Ge percentage in the strained-SiGe layers.

Fig. 4.15. Different heterostructure DGFET structures simulated to benchmark the SCE as a function of TSi, TGe, TS and LG. Y (=TGe/TSi%) was varied from 0% (surface channel Si) to 100% (surface channel Ge).

Page 130: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

91

Fig. 4.16. DIBL as a function of LG and TS for different heterostructure DGFET structures. A 5% increase in LG or 10% decrease in TS allows a 25% heterostructure DGFET (worst SCE) to have the same DIBL as a surface channel Ge and Si DGFET, respectively.

Fig. 4.17. SS as a function of LG and TS

for different heterostructure DGFET structures. A 5% increase in LG or 10% decrease in TS allows a 25% heterostructure DGFET (worst SCE) to have the same SS as a surface channel Ge and Si DGFET, respectively.

Page 131: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

92

Around the operating point, for the worst case (TGe=25%) device, the SS is

<15mV/dec higher than the Si surface channel and <10mV/dec compared to the Ge

surface channel. Again the SS looks ~10% worse compared to the Si surface channel

and only ~5% worse compared to the Ge surface channel.

From the above discussion, we can conclude that the electrostatic control in the

heterostructure, double-gate FET is very good and nearly comparable to its surface

channel counterpart. The main reason for this is easily attributed to the excellent

electrostatic properties of a fully depleted DG MOSFET where the entire body is

tightly coupled to the gate potential.

4.6 High Mobility – Transport

Unlike long channel devices, in extremely scaled nano-devices, the effectiveness of

mobility in boosting the drive current reduces. Full-Band Monte-Carlo simulations

were used to accurately evaluate the transport properties of the heterostructure,

strained-SiGe(60%) FET [4.14 - 4.16]. The device structures used in the simulations

are shown in Fig. 4.18 (a), (b) and (c). Two types of heterostructure FETs were

simulated - one with an undoped Si cap and the other with a cap doping of 5x1019 cm-

3. The workfunction of the gate electrode for all the devices was adjusted to match the

off-state leakage current. Doping the Si cap makes the device function similar to a

MOS-gated MODFET (HEMT) [4.16], where the dopants in the Si cap now supply

Page 132: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

93

the inversion carriers. This ensures that the band bending in the Si cap is small and

helps to confine the carriers into the high mobility Ge channel. One problem with the

undoped FET is the possibility of the carriers spilling into the Si cap at high gate bias.

To verify that the undoped FET does not suffer significantly from this potential

problem, this device was compared with the heterostructure FET with the doped Si

cap. Fig. 4.19 shows the cross-sectional valence band profile of the device in the off-

state and the on-state. As seen from the figure, the confinement due to the valence

band offset is still stronger than the confining effect of the surface-field caused by the

gate. As a result, most of the carriers remain in the high mobility strained-SiGe layer.

As shown in Fig. 4.20, due to the fact that the carriers are further away from the

interface, the carrier density is lower in the DG heterostructure FETs than in the case

of the surface channel FET. This leads to a ~15% lower capacitance in the DG

heterostructure FETs compared to the surface channel FET. The two important

parameters in determining the drive current in nanoscale MOSFETs are the injection

velocity (the average velocity of the carriers injected into the channel) and the

backscattering coefficient (the ratio of the carriers reflected back to the source to the

total number of carriers) at the charge control point (source barrier). Fig. 4.21 shows

the reflection coefficient or backscattering coefficient (RBACK) for the carriers. As seen

in the figure, due to its lower mobility and higher effective mass, the backscattering

coefficient is 40% higher for the Si DGFET compared to the DG heterostructure

FETs. Fig. 4.22 shows that the injection velocity for the heterostructure DGFETs is

~50% higher compared to the Si DGFET. Putting all this together, we find that the

Page 133: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

94

Fig. 4.18. Device structures used in the full-band Monte-Carlo simulations. The doped Si-cap DG heterostructure FET (b) operates like a MOS-gated HEMT.

Fig. 4.19. Valence band profiles for the three devices shown in Fig. 4.18 in OFF and ON state. The holes are still strongly confined to the center of the channel.

Fig. 4.20. Monte-Carlo simulations of the carrier density though the channel for the three devices shown in Fig. 4.18. CC heterostructure DG FETs have a 15% lower capacitance than the Si surface channel DGFET.

Page 134: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

95

Fig. 4.22. Monte-Carlo simulations of the carrier velocity through the channel for the three devices shown in Fig. 4.18. The injectionvelocity at the source for the CC heterostructure DG FETs is 50% higher than the Si surface channel DGFET.

Fig. 4.23. Monte-Carlo simulations of the drive current for the three devices shown in Fig. 4.18. The drive current for the CC heterostructure DG FETs is 50% higher than the Si surface channel DGFET.

Fig. 4.21. Monte-Carlo simulations of the reflection coefficient though the channel for the three devices shown in Fig. 4.18. The backscattering coefficient at the source for the Si surface channel DGFET is 40% higher than the CC heterostructure DG FET.

Page 135: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

96

drive currents are nearly 50% higher for the strained-SiGe (60%) heterostructure FETs

than in the case of the conventional Si DGFET (Fig. 4.23) at lower capacitance. The

lower capacitance and high drive currents results in lower dynamic power dissipation

and higher switching speeds (τ = CV/I). Further, the higher transconductance

combined with the lower capacitance leads to a significantly higher cut-off frequency

(fT = gm/Cg) of nearly 1000GHz compared to the Si device (Fig. 4.24). In summary,

due to its excellent transport properties, the Full-Band Monte-Carlo simulations show

a significant performance enhancement in the double-gate strained SiGe

heterostructure FET compared to a conventional Si DG FET.

4.7 Conclusion

In conclusion, we have introduced novel Heterostructure DGFETs, which can

significantly reduce the BTBT leakage currents, while retaining their high current

drivability and excellent electrostatic control. In particular, through 1-D Poisson-

Schrodinger, Full-band Monte-Carlo and detailed BTBT simulations, we have

thoroughly analyzed high mobility, sub-20nm, Si-strained SiGe-Si, heterostructure

PMOS DGFETs with very high Ge concentration. Our results show a dramatic

(>100X) reduction in BTBT and excellent electrostatic control (<10%), while

maintaining very high drive currents (~50%), cut-off frequencies (1000GHz) and

Page 136: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

97

lower power dissipation (compared to conventional Si devices), making them suitable

for scaling into the sub-20nm regime.

Fig. 4.24. Monte-Carlo simulations of the cut-off frequency for the three devices shown in Fig. 4.18. The cut-off frequency for CC heterostructure DG FETs is nearly 2X higher (1000GHz) than the Si surface channel DGFET.

Page 137: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

98

Chapter 5

High Mobility, Ultra Thin (UT), Strained Ge

MOSFETs On Bulk And SOI With Low Band-To-

Band Tunneling (BTBT) Leakage : Experiments

For the first time, the tradeoffs between higher mobility (smaller bandgap) channel

and lower Band-To-Band-Tunneling (BTBT) leakage have been investigated. In

particular, through detailed experiments and simulations we examine the transport and

leakage in ultra-thin, strained-Ge MOSFETs on bulk and SOI.

In the case of strained-Ge MOSFETs on bulk Si the resulting optimal structure

obtained was an ultra-thin, low defect, 2nm fully strained Ge epi channel on relaxed

Si, with a 4nm Si cap layer. The fabricated device shows very high mobility

enhancements >3.5X over bulk Si devices, 2X mobility enhancement and >10X BTBT

reduction over 4nm strained Ge and surface channel 50% strained SiGe devices.

Strained-SiGe MOSFETs having ultra-thin (UT) (TGe<3nm), very high germanium

fraction (~80%) channel and Si cap (TSi cap<3nm) have also been successfully

fabricated on thin relaxed SOI substrates (TSOI=9nm). The tradeoffs in obtaining a

Page 138: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

99

high mobility (smaller bandgap) channel with low tunneling leakage, on UT-SOI,

have been investigated in detail. The fabricated device shows very high mobility

enhancements of >4X over bulk Si devices, >2.5X over SSDOI (strained to 20%

relaxed SiGe) devices and >1.5X over 60% strained SiGe (on relaxed bulk Si) devices.

5.1 Introduction

High mobility channel materials like strained-Ge, Ge and strained SixGe1-x are very

promising as future channel materials. However, most high mobility materials also

have a significantly smaller bandgap compared to Si and suffer from higher BTBT

leakage, which may ultimately limit their scalability. Previous work [5.1-5.5] has

demonstrated very high mobility in Ge rich channels or strained-Ge channels on

relaxed SiGe. However, there has been little work addressing the problem of BTBT in

these devices. Previously, through simulations, we’ve examined the transport and

leakage mechanisms in strained-Ge, double-gate, heterostructure MOSFETs [5.12]. In

this work, the results of detailed experiments performed on strained-Ge,

heterostructure MOSFETs grown on bulk and UT-SOI substrates are discussed.

In Sec. 5.2, for the first time, the device design space for inserting a high mobility

strained Ge layer into relaxed bulk Si, while keeping the BTBT leakage and defect

density low, has been thoroughly examined through detailed simulations and

experiments [5.6]. Part 5.2.1 briefly discusses the basic device structure, fabrication

Page 139: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

100

and describes the various device splits. Part 5.2.2 attempts to explain the various

results of the mobility and band-to-band tunneling obtained from the various splits

through detailed experimental analysis and simulations. Part 5.2.3 covers the tradeoffs

involved in designing a high mobility MOSFET with low tunneling leakage.

Combining the transport advantage of this high mobility material with the electrostatic

advantage of a fully-depleted SOI structure makes it very attractive for scaled

PMOSFETs [5.7-5.9]. In Sec. 5.3, the device design space for inserting a high

mobility strained-SiGe layer into an ultra-thin SOI substrate, while keeping the BTBT

leakage and defect density low has been thoroughly examined. In part 5.3.1, we

discuss the device structure and fabrication. Part 5.3.2 begins by briefly describing the

device working through detailed Poisson-Schrodinger simulations [5.13] with

experimental confirmation. It then attempts to explain the mobility enhancements and

the BTBT leakage obtained from the different splits through experimental analysis and

detailed simulations. Part 5.3.3 discusses the tradeoffs involved in designing a high

mobility strained-SiGe-on-SOI transistor with low tunneling leakage.

5.2 High Mobility, Low BTBT, Ultra-thin, Strained Ge On

Bulk Si

5.2.1 Device Structure And Fabrication

Page 140: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

101

Fig. 5.1(a) and (b) show the schematic of the device structure that was fabricated

along with the band structure. Strained Ge was epitaxially grown on lightly doped n-

type bulk Si substrate and capped with a thin Si layer. Care was taken to prevent the

defect formation or islanding during the epitaxial growth of high concentration

strained-Ge layers directly on Si (Fig. 5.2(a)). The Si cap was then oxidized at a

relatively lower temperature (8000C) to prevent relaxation of the strained Ge film. The

cross-sectional TEM in Fig. 5.2(b) shows the different defect-free layers. Fig. 5.3

shows SIMS data taken on a stack of Si/SiGe layers with varying Ge % in the SiGe

layers. The effect of thermal oxidation, on the out-diffusion of the germanium from

the strained-SiGe layers was studied by annealing the sample at various time-

temperatures (700OC-1hr, 800OC-1hr and 850OC-0.5hr). As seen in Fig. 5.3, Ge can

rapidly diffuse from the high Ge % regions into the Si, lowering the Ge%, increasing

the Ge pile up at the SiO2 interface and creating defects due to relaxation of the film

[5.10]. The Ge out-diffusion is much faster from the high Ge % region than from the

low Ge % region. The effects of the Ge pile up on the interface state density (Dit) and

C-Vs measured on MOS capacitors are shown in Figs. 5.4 (a)-(c). The interface state

density (Dit) created by the Ge diffusion to the interface is a strong function of TSi cap

and can adversely affect the mobility and the trap-assisted-tunneling (TAT).

In order to study the design space for strained-Ge MOSFETs thoroughly, several splits

by varying the Si capping layer thickness, Ge percentage in channel and the strained

Ge thickness were fabricated. The different design splits are shown in Table. 5.1. The

Si capping layer thickness (TSi cap) was varied from 0nm-8nm, the Ge thickness (TGe)

Page 141: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

102

Fig. 5.1. Device structure and band diagrams.

Fig. 5.2 (a). Islanding and defect formation in epitaxial films with high Ge concentration.

Fig. 5.2 (b). Cross-sectional TEM of device.

Page 142: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

103

Fig. 5.3. SIMS profile of an Si/SiGe superlattice annealed at 7500C (1h), 8000C (1h) and 8500C (0.5h). Ge diffuses and piles up at the gate interface during thermal oxidation.

(a)

(b)

Fig. 5.4. (a)-(c) Interface states and defects generated as a result of Ge diffusion toward the surface are a strong function of the TSi, cap

for a given gate oxidation condition.

(c)

Page 143: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

104

was varied from 6nm-2nm and two types of devices with different Ge fractions, 50%

and 100%, were fabricated.

5.2.2 Mobility Enhancements And Low Tunneling Leakage

From the C-Vs of some of the typical MOSFETs (Fig. 5.5) we can clearly observe a

decrease in the Vth due to the band offset between control Si and strained-Ge. Further,

we also observe the characteristic hump in the C-V curve showing the onset of

inversion in the Si cap (4nm). Since the 4nm strained Ge layer is close to the critical

thickness, it partially relaxes during the gate oxidation leading to defect formation in

the Ge channel and Si cap. As seen in Fig. 5.6, due to the larger out-diffusion to the

interface and strain-relaxation, the sub-threshold slope for the 4nm strained Ge device

is slightly higher (~90mV/dec) compared to the 2nm strained Ge (~68mV/dec) and the

Si control (~65mV/dec). The 2nm strained Ge device shows excellent sub-threshold

slope due to the ultra-thin channel, which remains fully strained and defect-free. Due

to the lower thermal budget, there is also very little Ge pile up at the interface leading

to very low Dit. To study the effect of the TSi cap on the mobility, we compare devices

#1, #2, #3 and #4 where TSi cap is varied from 0nm (surface channel) to 8nm on a

6nm, 50%, strained-SiGe epi layer. The mobility enhancement for these devices is

around 65% compared to the control Si (Fig. 5.7). Decreasing TSi cap leads to lower

mobility at low Ninv because of Coulombic scattering by the increased interface states.

As we make the cap thicker, we find that the overall mobility reduces due to a greater

Page 144: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

105

Fig. 5.5. C-V for the strained Ge (on bulk Si) channel devices.

Fig. 5.6. Is-Vg for the strained Ge (on bulk) devices.

Table 5.1. Splits for the devices fabricated on bulk substrates.

Page 145: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

106

fraction of the hole populating the Si surface compared to the Ge buried channel.

Looking at the BTBT in these devices, we find that increasing the TSi cap beyond 4nm

reduces the BTBT by >10X (Fig. 5.8). Simulations show that this is because the E-

field in the smaller bandgap, strained-Ge, is decreased by over 50% compared to the

surface channel device. The E-field in the Si cap is also slightly lower than the bulk Si

control (Fig. 5.9). Thus, as shown in Fig. 5.10, there exists an optimum TSi cap of

around 4-6nm, which trades off mobility for BTBT.

To see the effect of TGe and Ge fraction on the mobility and BTBT, we compare

devices #2, #5 and #6. We find that the mobility for the 2nm Ge is much higher (~2X)

than the 4nm and 6nm cases. This is because the thicker layers tend to partially relax

easily, which releases the strain and causes defects in the channel. The peak mobility

for the 2nm case is >3.5X compared to the bulk Si control (Fig. 5.11). Also, the BTBT

for the ultra-thin, 2nm, strained Ge is ~10X lower than the thicker Ge case (Fig. 5.12).

The reason for this is two-fold (Fig. 5.13). The first effect is the increase in the

effective bandgap of the strained-Ge as we reduce TGe, due to quantum mechanical

confinement between the two Si barriers. This higher effective bandgap decreases the

probability for BTBT to occur and reduces the leakage. The second effect is the

stronger immunity to partial relaxation of the strain in the film as TGe is decreased.

This relaxation produces defects, which allow trap-assisted tunneling to increase

significantly. Thus, from Fig. 5.14, we find that using ultra-thin (2nm), fully strained

Ge can help to significantly reduce the BTBT while retaining higher mobility (>3.5X).

Page 146: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

107

Fig. 5.7. Mobility versus Ninv with varying TSi, cap

Fig. 5.8. BTBT (gate induced drain leakage, GIDL) with varying TSi, cap

Fig. 5.9. Maximum E-field in the Si cap and Ge channel

Page 147: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

108

Fig. 5.10. Mobility and BTBT versus TSi, cap

Fig. 5.11. Mobility versus Ninv with varying TGe channel

Fig. 5.12. BTBT (GIDL) with varying TGe channel

Page 148: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

109

5.2.3 High Mobility And Low Tunneling Leakage Design Tradeoffs

To design an optimal structure using high mobility (smaller bandgap) channels, we

need to examine the tradeoffs in terms of lower BTBT leakage and higher mobility.

Fig. 5.15 and 5.16 together show the parameters involved in designing a high mobility

device with low BTBT. A combination of a thicker Si cap and an ultra-thin high

mobility channel material is a very effective way to lower the BTBT leakage. The

thicker cap lowers the E-field in the high mobility channel; the ultra-thin channel has a

lower defect density and a larger effective bandgap. By increasing the TSi cap, we

reduce the scattering of the channel carriers by interface states but also reduce the

population of the carriers in the higher mobility channel. These two effects are in

opposite directions and lead to an optimum cap thickness in terms of mobility.

Further, as we go to ultra-thin high mobility channels, the defects in the channel due to

strain-relaxation are reduced but the population of carriers in the high mobility region

also reduces. These two effects lead to an optimal channel thickness for higher

mobility.

5.3 High Mobility, Low BTBT, Ultra-thin, Strained

Si0.2Ge0.8-On-SOI

5.3.1 Device Structure And Fabrication

Page 149: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

110

Fig. 5.13. Factors affecting BTBT and TAT

Fig. 5.14. Mobility and BTBT versus TGe channel showing that 2nm is optimum for 100% strained Ge on bulk Si.

Fig. 5.15. Lower E-field and quantization effects in the UT Ge channel reduce the BTBT leakage in the heterostructure field-effect transistor (E(max) is around the depletion layer near the surface at the Drain/Gate edge).

Page 150: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

111

Fig. 5.17(a) and (b) show the schematic of the strained-SiGe on SOI device structure

that was fabricated, along with the band structure. Highly strained SiGe (80%) was

epitaxially grown on SOI wafers with 9nm thick Si and capped with a thin (3nm) Si

layer. In our experiment, care was taken to keep the thermal budget low. The Si cap

was initially oxidized at a low temperature to prevent relaxation/diffusion of the

strained SiGe film while maintaining a good gate dielectric interface. The device was

then capped with 35nm of LTO at 4000C to eliminate any gate leakage component

from the measurements. The cross-sectional TEM of the fully processed device, in

Fig. 5.18, shows the different defect-free layers. In order to study the design space

thoroughly, several splits by varying the TSi cap, Ge % and the TGe were fabricated. The

different design splits are shown in Table. 5.2. The TSi cap was varied from 0nm to

3nm, the TGe was varied from 6nm to 3nm and two types of devices with 60% and

80% Ge fractions were fabricated. The devices were benchmarked against bulk Si,

SOI and 20% SSDOI controls.

5.3.2 Mobility Enhancements And Reduced Tunneling Leakage

Simulations, using a Luttinger-Kohn (6x6 k.p) Schrodinger solver [5.13], illustrate the

effect of the TSi Cap, TGe and the X % strain in the SiGe on the C-V characteristics (Fig.

5.19). The characteristic hump in the C-V curve in Fig. 5.19(a) is cause by the sub-

surface SiGe channel inverting before the top Si cap. From Fig. 5.19(b), due to the

larger band offset, increasing the strain decreases the threshold voltage (Vth).

Page 151: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

112

Fig. 5.16. Designing optimal Si cap and Ge channel thickness under mobility constraints.

Fig. 5.17. (a) and (b) Device structure and band diagrams for the strained SiGe on SOI device structure.

Fig. 5.18. Cross-sectional TEM of device showing TSicap=3nm, TGe=3nm and TSOI=9nm.

Page 152: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

113

Table 5.2. Splits for the devices fabricated on SOI, SSDOI and bulk substrates

Fig. 5.19. Simulations using Luttinger-Kohn (6x6 k.p) Schrodinger solver showing the effect of varying the TSicap, the TGe and the % Ge on the C-V.

Page 153: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

114

However, as the strained SiGe thickness decreases, due to quantum confinement, the

Vth increases. The effect of the TSi cap on the confining band potential and

consequently, the carrier distribution between the strained SiGe and the Si cap are

shown in Figs. 5.20(a) and (b). The mobility advantage is retained for the strained-

SiGe device even at high Ninv because even for TSi cap=5nm, the valence band offset

between the strained-SiGe and Si causes most of the carriers to populate and flow in

the high mobility strained-SiGe layer. Fig. 5.21(a) and (b) show simulations of the

band profile and carrier population as we change TGe and Ge %. Increasing Ge%

creates a stronger confining field for the holes and decreasing the TGe squeezes the

wavefunction, raising the lowest energy level. In Fig. 5.22, assuming a strained-Ge

quantum well with 1nm Si capping layers and taking parameters from [5.11, 5.13,

5.15], we have calculated the change in the ‘effective bandgap (Eg,eff)’ energy due to

quantum confinement of the holes as we reduce TGe. Most of the energy change occurs

in the valence band. Our results show that having a larger Eg,eff significantly reduces

the BTBT probability and the available density of states, leading to lower leakage. A

thin TGe (lower than the critical thickness) also leads to a defect-free layer, which

further reduces the Trap Assisted Tunneling (TAT) and Generation-Recombination

(G-R) currents.

The hole mobilities for the different devices are shown in Fig. 5.23. The 3nm, 80%

strained SiGe on SOI device exhibits a very high mobility of >4X compared to the Si

controls and >2.5X higher than the control 20% SSDOI wafer. The SSDOI itself

exhibits 40% higher mobility compared to the Si controls, but only at low E-field. The

Page 154: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

115

Fig. 5.20. Simulations using Luttinger-Kohn (6x6 k.p) Schrodinger solver showing the effect of varying TSicap on the valence band profile and carrier distribution in the Si and Ge layers at an Ninv=1013cm-2. Even for TSi,cap= 5nm, most of the carriers are quantum confined in the strained SiGe layer.

Fig. 5.21. Simulations using Luttinger-Kohn (6x6 k.p) showing the effect of varying the TGe and the %Ge on the band profile and carrier distribution in the Si and Ge layers at an Ninv=1013cm-2. Due to the large band offset, even a 60% SiGe layer has a strong confining potential for the carriers.

Page 155: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

116

60% strained-SiGe on bulk devices also show high mobility enhancements (~2X) even

at high Ninv. By going to thinner TSi cap, the mobility in the 60% bulk devices shows a

reduction at low E-fields, due to the increasing number of Dit. However, at higher E-

fields, there is a cross over in the mobility because the coulombic scattering sites are

screened and more carriers populate the high mobility strained-SiGe layer for a thin

TSi cap. Since the 60%, 6nm strained-SiGe layer is close to the critical thickness, it may

partially relax during thermal processing, leading to lower mobility and defect

formation in the Ge channel.

The Id-Vg and Id-Vd characteristics for the strained-SiGe on SOI device are in Fig.

5.24 and Fig. 5.25. The currents are significantly enhanced (~7X) higher compared to

the bulk SOI control due to the higher mobility and reduced Vth caused by the valence

band offsets. In order to improve the device performance it is essential to understand

the different leakage mechanisms in the Strained-SiGe on SOI device. As discussed in

[5.12], a thicker TSi cap reduces the peak vertical E-field in the strained-SiGe (smaller

Eg) region at the expense of a marginal increase in the E-field at the Si surface (larger

Eg) (Fig. 5.9). This enables us to effectively, use TSi cap as a knob to lower the BTBT

leakage. However, the effect of the lateral field is also very important in the case of

SOI or Double-Gate FETs. Going to ultra-thin SOI decreases the vertical E-field (Ez)

at the expense of a growing lateral field (Ex) (Fig. 5.26). The total field remains

roughly the same. Thus, the E-field vector rotates from a dominant vertical vector in

thicker SOI or bulk, to a dominant lateral vector for UT-SOI (Fig. 5.27), which may

lead to increased susceptibility to tunneling leakage through the narrow Eg strained-

Page 156: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

117

Fig. 5.22. Calculated ‘effective bandgap’ increase as a function of TGe, due to energy level quantization, for a 100% strained Ge well confined between two 1nm Si capping layers.

Fig. 5.23. Experimental mobility vs Ninv. Peak mobility enhancement was 4X for the strained SiGe on SOI device.

Fig. 5.24. Experimental Id-Vg characteristics of the strained SiGe on SOI PMOS. The device has a degraded SS due to the thicker oxide (~35nm) and the Ge-related defects at the interfacel, but exhibits good electrostatic control and low tunneling leakage (<0.3nA).

Page 157: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

118

Fig. 5.25. Id-Vd characteristics of the SiGe SOI device. The drive currents are very high (>7X) compared to the SOI control due to the higher mobility and the reduced Vth.

Fig. 5.26. Electric field components in UT-SOI devices. Thinning down the SOI thickness decreases the vertical E-field [E(z)] at the expense of the lateral E-field [E(x)]. The lateral field plays an important role in the tunneling leakage of the SiGe on SOI device.

Fig. 5.27. Schematic showing the importance of the lateral E-field on tunneling currents in Ultra-Thin strained SiGe on SOI devices.

Page 158: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

119

SiGe channel. The leakage, sub-threshold slope (SS) and electrostatic control for the

ultra-thin (3nm) strained-SiGe on SOI device are significantly better than the bulk

strained-SiGe FETs but are still worse than the control Si FETs. This may be due to

the interface states caused by some Ge diffusion to the SiO2 interface during thermal

processing.

5.4 Conclusion

The device design space to realize high mobility channels with low Band-To-Band-

Tunneling (BTBT) has been examined through detailed experiments and simulations.

Our results suggest that a defect free, ultra-thin (2nm), fully strained, 100% Ge

channel (on relaxed Si) with a thin (4nm) Si cap is very effective in reducing the

leakage by ~10X and still retaining a very high carrier mobility >3.5X.

The fabricated strained-SiGe on 9nm SOI MOSFET, utilizing an ultra-thin (<3nm),

high Ge fraction (>80%) layer, exhibits very high mobility enhancements (>4X),

which is sustained even at high Ninv. For the first time, the tradeoffs in obtaining a

high mobility (smaller bandgap) channel with low tunneling leakage, on UT-SOI,

have been investigated. Our results show that an ultra-thin, defect-free channel can

significantly alleviate the tunneling leakage problems of the strained-SiGe due to

quantum mechanical bandgap widening. This effect, combined with the strong

Page 159: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

120

electrostatics of ultra-thin SOI and a high mobility channel, makes the device a

promising candidate for future high performance devices.

Page 160: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

121

Chapter 6

Future Nanoscale p-MOSFETs

In this chapter, the tradeoffs between drive current (ION), intrinsic delay (τ), band-to-

band tunneling (BTBT) leakage and short channel effects (SCE) have been

systematically compared in futuristic high mobility channel materials, like strained-Si

(0-100%), strained-SiGe (0-100%) and Ge. All possible combinations of strained Si(1-

x)Ge(x) alloys grown on relaxed Si(1-y)Ge(y) virtual substrates have been evaluated.

The optimal channel materials and device structures for nanoscale p-MOSFETs are

discussed through detailed BTBT (including band structure and quantum effects), 1-D

Poisson-Schrodinger and Full-Band Monte-Carlo simulations on ultra-thin, nanoscale

Double-Gate FETs.

6.1 Introduction

High mobility channel materials like strained-Si, Ge and strained Si(1-x)Ge(x) are

very promising as future channel materials [6.1]-[6.8]. Currently, strained-Si is the

Page 161: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

122

dominant technology for high performance p-MOSFETs and increasing the strain

provides a viable solution to scaling. However, looking into future nanoscale p-

MOSFETs, it becomes important to look at novel higher mobility channel materials,

like Ge, strained-SiGe or strained-Ge, which may perform better than even very highly

strained-Si. Most high mobility materials have a significantly smaller bandgap

compared to Si and suffer from higher BTBT leakage, which can ultimately limit their

scalability. Further, as we scale MOSFETs down to very short channel lengths, the

relation between the long-channel mobility and short-channel drive current is neither

direct nor obvious. In this work, through detailed BTBT (including band structure and

quantum effects), Full-Band Monte-Carlo and 1-D Poisson-Schrodinger simulations on

ultra-thin, nanoscale DG FETs, we systematically compare different double-gate

geometries and high mobility channel materials in terms of the drive current, intrinsic

delay and off-state leakage.

6.2 Benchmarking High Mobility Materials

6.2.1 Channel Materials Investigated

A common terminology used in this chapter is a channel material (x,y) where, x

denotes the Ge content in the channel material and y denotes the Ge content in an

imaginary relaxed (r) substrate to which the channel is strained (s). E.g. (0.3,0) is a s-

Page 162: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

123

SiGe (with 30% Ge content) channel strained to an underlying Si substrate. (0,0.6) is a

s-Si channel strained to a r-SiGe (60% Ge content) substrate. In this work, we have

looked at all possible strained Si(1-x)Ge(x) alloys grown on relaxed Si(1-y)G(y)

substrates. Four extreme cases are considered:

1) Biaxial tensile strained-Si on relaxed SiGe was varied from (0,0) r-Si to (0,1) s-

Si.

2) Compressive strained-SiGe on relaxed Si was varied from (0,0) r-Si to (1,0) s-

Ge.

3) Tensile strained-SiGe on relaxed Ge was varied from (0,1) s-Si to (1,1) r-Ge.

4) Compressive strained-Ge on relaxed SiGe was varied from (1,0) s-Ge to (1,1)

r-Ge.

Fig. 1 shows the schematic of the device structure, device dimensions and channel

materials that are investigated. The bandgaps (EG), ladders and effective masses used

in this work are taken from [6.9]-[6.10] and are tabulated in Table 6.1.

6.2.2 Transport: Long Channel Mobility vs. ION In Nanoscale FETs

Fig. 6.2 shows the calculated in-plane mobility for the different materials as function

of strain due to the increasing Ge concentration in the SiGe layer. The mobility

dramatically increases with increasing strain and increasing Ge concentration in the

layer because of a reduction in the conductivity mass and the band splitting due to

strain. Since the drive current is determined by a combination of low-field mobility at

Page 163: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

124

Fig. 6.1. DG FET, with different channel materials, simulated in this study (Lg=15nm, Ts=5nm, Vdd=0.7V).

Table. 6.1. Material parameters for (0,1) s-Si, (1,0) s-Ge, (0,0) r-Si and (1,1) r-Ge, used in this study.

Fig. 6.2. In-plane mobility for the various channel materials. Mobility dramatically increases with increasing strain and Ge concentration.

Page 164: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

125

the source and the high-field transport near the drain, in extremely scaled MOSFETs,

the relation between the short-channel drive current (ION) and the long-channel

mobility (µ) is not direct or obvious. In order to accurately capture and understand the

transport in nanoscale devices, Full-Band Monte-Carlo simulations were performed.

The results for the drive current enhancement of the different high-mobility materials

are plotted in Fig. 6.3. It is important to note that even though the in-plane mobility

enhancement is predicted to be ~8X higher for tensile strained Si and ~25X higher for

compressive strained Ge (compared to bulk relaxed Si), the enhancements in ION are

only ~1.8X and ~2.5X, respectively. The highest drive currents are obtained from the

compressive s-Ge on r-SiGe substrates, and for s-SiGe channels with very high (>0.8)

germanium content grown on r-Si substrates.

6.2.3 Performance: Intrinsic Delay

Due to its higher dielectric constant (κS), Ge shows worse sub-threshold slope

compared to Si. Further, the lower effective mass in high mobility channel materials

leads to a lower Density Of States (DOS) capacitance. Since the quantum capacitance

comes in series with the oxide capacitance, the magnitude of the quantum capacitance

relative to the oxide capacitance is important. The lower quantum capacitance may

lead to a reduction in the drive current but may not adversely affect the intrinsic delay

of the device, which is determined by CV/ I. Fig. 6.4 shows the intrinsic delay of the

different devices. The lowest delays are obtained from the compressive s-Ge on r-SiGe

Page 165: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

126

substrates (~1.7X lower than r-Si) and for s-SiGe channels with very high (>0.8)

germanium content grown on r-Si substrates. (~2.2X lower than r-Si) Further, for s-Ge

grown on r-SiGe, the intrinsic delay remains very low (<2X lower than r-Si) and does

not change significantly even as we increase the strain.

6.2.4 Off-state Leakage: Band-To-Band Tunneling (BTBT)

Fig. 6.5 shows a typical Id-Vg characteristic of a p-MOSFET. The minimum

achievable standby leakage (IOFF,MIN) is at the intersection of the BTBT leakage with

the sub-threshold leakage. Most high-mobility materials have a small bandgap and

suffer from excessive BTBT leakage, which can ultimately limit their scalability. To

accurately estimate IOFF,MIN for different materials, we performed detailed BTBT

simulations, which take into account bandstructure information, quantum mechanical

(QM) effects and the direct-indirect valley transitions. Fig. 6.6, plots the IOFF,MIN for

the different (s/r)-SiGe alloys. The leakage currents for s-Si on r-SiGe and s-SiGe on r-

Si increase monotonically with increasing strain and increasing Ge content due to the

rapid reduction in the bandgap and the transport effective mass. The dependence of the

off-state leakage for s-Ge on r-SiGe and s-SiGe on r-Ge is not monotonic and reveals

an optimum point of minimum leakage. This is because of the change in the dominant

BTBT leakage from the direct Γ-valley to the small bandgap X-valley. As we go from

either (1,0) s-Ge or (0,1) s-Si to (1,1) r-Ge, the bandgap increases, due to the decrease

in the splitting of the indirect X-valley. However, (1,1) r-Ge eventually shows a higher

Page 166: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

127

Fig. 6.3. Full-band Monte Carlo Drive current for the various channel materials. s-Ge on r-SiGe and s-SiGe on r-Si (for x>0.8) exhibit the highest drive currents.

Fig. 6.4. Intrinsic delay for the various channel materials. s-Ge on r-SiGe and s-SiGe on r-Si (for x>0.8) exhibit the highest performance.

Fig. 6.5. I(OFF, min) is the minimum achievable leakage current in a MOSFET.

Page 167: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

128

leakage than (1,0) s-Ge due to the dominant leakage coming from the low-lying Γ-

valley, which allows for a large direct BTBT leakage component. (0,1) s-Si has the

highest IOFF,MIN because of an extremely small EG. The change in the dominant BTBT

leakage from the decreasing indirect X-valley bandgap to the low-lying direct Γ-valley

leads to an optimum minimum off-state leakage point. This optimal point allows us to

decrease the BTBT off-state leakage by over an order of magnitude by straining the

material.

6.2.5 Benchmarking The Different Materials: Power-Performance

A plot of the switching frequency (fT) vs. the minimum standby leakage (IOFF,MIN)

achievable is a good benchmark to compare different device structures and channel

materials. Fig. 6.7 benchmarks the performance of the different (s/r)-SiGe alloys. The

x-axis (IOFF,MIN) determines if a given material can meet an off-state leakage

specification, while the y-axis (fT) compares the performance of the materials that can

meet the leakage requirements. This is a combination of the Fig. 6.5 and Fig. 6.6. We

find that for higher Ge content (>60%), s-SiGe on r-Si performs much better than s-Si

on r-SiGe, in terms of both, lower off-state leakage and higher switching frequency.

The highest switching frequency is obtained in s-Ge (>2X enhancement compared to

r-Si) on r-SiGe, or s-SiGe with high Ge content (>0.8) on r-Si MOSFETs. We find that

applying biaxial compressive strain to Ge increases its switching frequency while

simultaneously lowering the leakage. The optimum leakage point is obtained for

Page 168: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

129

Fig. 6.6. IOFF,MIN for the various channel materials. s-Geshows a dramatic reduction in off-state leakage compared to r-Ge because of the lower leakage from the Γ-valley.

Fig. 6.7. fT vs IOFF,MIN for the various channel materials. (1,0.6) s-Ge shows an optimum reduction (>10X) in off-state leakage compared to (1,1) r-Ge and simultaneously achieving higher switching frequencies.

Fig. 6.8. Comparing the relative performance (with r-Si as reference) of compressive biaxially strained (1,0.6) s-Ge to (0,0) r-Si, (0,1) s-Si, (1,1) r-Ge and (1,0) s-Ge.

Page 169: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

130

(1,0.6) s-Ge (>10X reduction compared to r-Ge), which has a ~2.2X enhancement in

switching frequency (compared to r-Si). Fig. 6.8 shows the relative performance of

(1,0.6) s-Ge compared to (0,0) r-Si, (0,1) s-Si, (1,1) r-Ge, (1,0) s-Ge. We find that

among the high mobility materials, (1,0.6) s-Ge has the lowest off-state leakage, while

exhibiting an extremely low intrinsic delay (only marginally worse than (1,0) s-Ge).

This result has interesting implications from a device fabrication and integration point

of view, (1,0.6) s-Ge is a far easier material to work with than (1,0) s-Ge because of

the reduced strain in the layer, increased robustness to high temperature processes and

reduced possibility of defects or strain relaxation.

6.3 Benchmarking High Mobility Device Structures

6.3.1 Double-Gate Geometries Investigated

Fig. 6.9(a)-(c) show the schematic of the device structures and materials that are

investigated. 1(c) is a heterostructure device with a strained-SiGe channel that is

sandwiched between two thin Si caps. The bandstructure for a (1,0) s-Ge

heterostructure is shown in Fig. 6.10. In this work, the s-Si was varied from (0,0) r-Si

to (0,1) s-Si (100%) and the s-SiGe was varied from (1,1) r-Ge to (1,0) s-Ge (100%).

6.3.2 Off-state Leakage: Band-To-Band Tunneling (BTBT)

Page 170: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

131

As seen in Fig. 6.11, the IOFF,MIN increases monotonically with increasing strain due to

the rapid reduction in the bandgap. (1,1) r-Ge shows higher leakage than (1,0) s-Ge

due to the low lying Γ-valley, which allows for a large direct BTBT leakage

component. (0,1) s-Si has the highest IOFF,MIN because of an extremely small bandgap.

Fig. 6.12 shows that we can further reduce the leakage component in (1,0) s-Ge

MOSFETs by using a heterostructure DG MOSFET. For a Ge thickness (TGe) <~2nm,

the IOFF,MIN rapidly drops by over an order of magnitude due to the large quantization,

which increases the effective bandgap (Fig. 6.13). Thus, by varying the Si capping

layer thickness (TSi cap) and the Ge thickness (TGe) we can effectively use the

heterostructure double-gate geometry to control the device off-state leakage.

6.3.3 Scalability: Short Channel Effects (SCE)

Due to its higher dielectric constant (κS), Ge shows worse SCE compared to Si. The

main concern with heterostructure FETs is the reduced electrostatic control due to the

channel being further away from the gate insulator interface. As shown in the Fig.

6.14, for a given TS and LG, several sets of simulations were performed, where TGe/TS

goes from 0% (surface channel Si) to 100% (surface channel Ge). Then, using TS and

LG as parameters, the Drain Induced Barrier Lowering (DIBL) is plotted in Fig. 6.15

(a)-(b) and Sub-threshold Slope (SS) is plotted in Fig. 6.16 (a)-(b). The surface

channel Ge (TGe/TS= 100%) shows slightly worse SCE due to its higher κS and the

Page 171: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

132

Fig. 6.9. Device structures – surface channel and heterostructures with different channel materials.

Fig. 6.10. Band structure for the r-Si/s-Ge/r-Si heterostructure.

Fig. 6.11. I(OFF,min) for different materials. (0,1) s-Si shows the highest leakage.

Page 172: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

133

Fig. 6.12. I(OFF,min) for the different materials compared to the heterostructure.

Fig. 6.13. Bandgap increases due to quantization.

Fig. 6.14. To benchmark the short channel effects, the ratio of TGe/Ts was varied from 0% (surface channel Si) to 100% (surface channel Ge).

Page 173: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

134

worst SCE occurs in the device where TGe/TS = 25%, where the channel is the

farthest from the gate. The SCE is around ~5-10% worse for the worst-case (25%)

device, meaning that its LG would have to be ~5-10% longer or TS ~5-10% thinner to

achieve the same electrostatic control compared to the surface channel. However, due

to the strong gate coupling to the channel in the double-gate geometry, the overall

SCE values for all the DG FETs are excellent and quite comparable.

6.3.4 Performance: Drive Current (ION) And Intrisic Delay (ττττ)

In order to accurately estimate the transport in highly scaled MOSFETs, Full-Band

Monte-Carlo simulations need to be performed. As shown in Fig. 6.17, (1,0) s-Ge

exhibits the highest drive current (ION) due to its dramatically reduced transport mass.

The drive currents for the (1,0) s-Ge heterostructures are shown in Fig. 6.18. Due to

their lower capacitance and worse sub-threshold slope, the heterostructures show a

slightly lower drive compared to the surface channel MOSFET. However, the

reduction in the drive current due to lower capacitance may not adversely affect the

intrinsic delay of the device (CV/ I). The lower capacitance could also lead to a

reduction in the active power dissipation (CV2f). As seen in Fig. 6.19, due to its lower

capacitance and high drive current, the optimal delay is obtained in a heterostructure

FET with TGe ~2nm.

Page 174: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

135

Fig. 6.15 (a) and (b). Subthreshold Slope as a function of total channel thickness and channel length.

Fig. 6.16 (a) and (b). DIBL as a function of total channel thickness and channel length.

Fig. 6.17. I(ON) for different materials. (1,0) s-Ge shows the highest leakage.

Page 175: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

136

6.3.5 Benchmarking The Different Device Structures: Power-

Performance

A plot of the switching frequency vs. the minimum standby leakage achievable is a

good benchmark to compare different device structures and channel materials. In Fig.

6.20, we find the performance of (0,0.6) s-Si and (0.6,0) s-SiGe p-MOSFETs are very

comparable. However, as we scale to higher mobility materials, s-SiGe rapidly

outperforms s-Si. Further, by using (1,0) s-Ge heterostructure p-MOSFET, the

switching frequency can be increased (>4X compared to surface channel r-Si control)

and simultaneously, the standby leakage can be further sharply reduced (<10X

compared to surface channel s-Ge).

6.4 Conclusion

The optimal channel materials and device structures for future nanoscale p-MOSFETs

are obtained through detailed BTBT (including band structure and quantum effects),

Full-Band Monte-Carlo and 1-D Poisson-Schrodinger Simulations on ultra-thin (5nm),

nano-scale (15nm) DG MOSFETs. The tradeoffs between drive current (ION), intrinsic

delay (τ), band-to-band tunneling (BTBT) leakage, short channel effects (SCE) and

long-channel mobility (µ), have been systematically compared in futuristic high

mobility channel materials, like strained-Si (0-100%), strained-SiGe (0-100%) and

Page 176: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

137

Fig. 6.18. Effect of Ts variation on the I(ON)

of the heterostructure.

Fig. 6.19. Intrinsic delay of different materials. ~2nm heterostructure is optimal.

Fig. 6.20. Switching frequency vs leakage current to benchmark the different materials and structures.

Page 177: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

138

relaxed-Ge. Our results show that (x,0) strained-SiGe becomes the material of choice

compared to (0,x) strained-Si for x>0.6. The highest performance is obtained in

compressively strained-Ge MOSFETs (>2X enhancement compared to r-Si). Applying

biaxial compressive strain to Ge increases its performance while simultaneously

lowering the leakage. The optimum leakage point is obtained for (1,0.6) s-Ge (<10X

compared to r-Ge). The heterostructure DG MOSFET geometry can further increase

the switching frequency (>2X) and reduce the off-state leakage (<~10X) compared to

a surface channel FET from the same material. The best channel material and device

structure for optimal performance can be obtained in a sub-20nm, (1,0) s-Ge

heterostructure p-MOSFET with an ultra-thin (~2nm) strained-Ge channel.

Page 178: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

139

Chapter 7

Future Research Directions

The following is a list of different suggestions, in which the current work may be

extended in future research directions:

7.1 Materials And Device Structures For High Mobility n-MOSFETs

Due to their small �

-valley electron mass, Ge and III-V materials like GaAs, InAs and

InSb are being investigated as high mobility channel materials for high performance

NMOS. The performance limits of ultra-thin body DG MOSFETs using III-V

materials, like GaAs, InAs and InSb, have been compared to Si and Ge [7.1]. An

analytical ballistic model, including all the valleys (�

-, X- and L-), was used to simulate

the source to drain current. The band-to-band tunneling (BTBT) limited off currents,

including both the direct and the indirect components, were simulated using TAURUSTM

(Fig. 1). The results show that at significantly high gate fields, the current in the III-V

materials is largely carried in the heavier L-valleys than the lighter �

- valleys, due to the

Page 179: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

140

low density of states (DOS) in the �

, similar to current conduction in Ge (Fig.2). Further,

the high-mobility / small-bandgap materials, like InAs, In Sb and Ge suffer from

excessive Band To Band Tunneling (BTBT) (Fig.3) leakage and Short Channel Effects

(SCE) (Fig. 4), which limits their scalability. GaAs has a significantly higher ION and

lower intrinsic delay at a reduced IOFF, when compared to Si, making it a very promising

candidate for future scaled n-MOSFETs (Fig. 5).

Further material optimization can be made by considering quaternary (or ternary) alloys,

such as InGaSbAs, which allows for a tradeoff between the mobility and bandgap.

Device optimization can be explored by using heterostructures in the channel [7.2],

which allow a tradeoff between the channel control and bandgap.

7.2 Center Channel (CC), Depletion-Mode (DM) Double-Gate (DG)

FETs For Ge NMOS

We have presented a novel Depletion-Mode Double-Gate (DMDG) MOSFET, which

shows enhanced performance by exploiting new transport ideas. The carriers in the

DMDG FET are confined to the center of the silicon body (Fig. 6) and do not suffer

from mobility degradation due to the vertical field because of the zero vertical E-field

in the center of a double gate device (Fig. 7). Process, device and circuit simulations

verify that the DMDG FET exhibits excellent short channel control, low threshold

voltage variation with the body thickness and high Ion/Ioff ratios. The device has a very

Page 180: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

141

Fig. 7.1. Schematic of the device structure and the models used to calculate ballistic transport.

Fig. 7.2 (a). Electron sub-band energies and occupancies for Si and Ge.

Fig. 7.2 (b). Electron sub-band energies and occupancies for GaAs, InAs and InSb.

Page 181: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

142

Fig. 7.3. Band-To-Band-Tunneling limited Off current in the different materials.

Fig. 7.4. Short Channel Effects in the different materials, (a) as a function of variations in TS and (b) as a function of variations in LG.

Fig. 7.5. Intrinsic gate delay vs. off-state leakage for all the different materials considered.

Page 182: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

143

good circuit performance in terms of FO4 power-delays and shows great potential in

scaling devices down to sub-20nm dimensions [7.3]. As shown in Fig. 8 and Fig. 9,

since the carriers are pushed away from the interface, they are not significantly

affected by scattering at the semiconductor-insulator interface. This allows for easier

integration of novel high mobility channel materials with high-k dielectric materials.

However, due to the doped body, the carriers suffer some mobility degradation,

primarily due to ionized impurity scattering.

As shown in Fig. 10, even at the large doping levels required for the depletion-mode

of operation, the ionized impurity scattering for carriers in Ge is still relatively weak

and leads to high mobility values.

The poor performance obtained experimentally for Ge NMOS is often attributed to a

poor Ge/high-k interface. In the case of Ge, it is worth investigating the performance

of a DMDG n-MOSFET because it allows us to effectively decouple the electrons

from the interface.

7.3 Germanium And Heterostructure Nanowire MOSFETs

Recently, chemically derived nanotube and nanowire materials have attracted much

attention as candidates for future electronics components including field effect

transistors. Currently, for further device scaling and miniaturization, germanium is of

renewed interest as an electronic material to potentially compliment silicon due to its

Page 183: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

144

Fig. 7.6. Working of the DM DG FET compared to a conventional DG FET, with the channel in the center as opposed to the channel at the surface.

Fig. 7.7. (left) Conventional DG FET with the peak carrier concentrations in regions of high E-field at the surface and (right) the DMDG FET with the peak carrier concentration in the center, where the E-field is zero.

Fig. 7.8. Potential profile showing change from conventional DG FET to DM DG FET operation as we increase the channel doping.

Page 184: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

145

Fig. 7.9. Lowest energy wavefunction for the conventional DG and the DMDG device in the ON state.

Fig. 7.10. The predicted E-field dependent mobility and the concentration dependent mobility for Si and Ge.

Page 185: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

146

higher carrier mobility and the trend in gate dielectrics evolution. We have

demonstrated controlled synthesis of single crystalline Ge nanowires and the

fabrication of Ge nanowire field effect transistors [7.4], with a focus on exploring the

potential advantages or unique features promised by bottom up chemical routes,

namely, the ability of size control, flexibility of substrates and high performance of

device prototypes. Single crystalline Ge nanowires with diameters controlled at ~ 20

nm, 6 nm and 3 nm are readily obtained at synthesis temperatures below 300 ºC by

VLS growth (Fig. 11). Growth of high quality Ge nanowires on soft plastic and

polymer substrates was achieved. Ge nanowire field effect transistors operating in the

depletion-mode, with SiO2 and high dielectric constant HfO2 gate insulators exhibit

high hole-mobility (estimated to be ~ 600 cm2/V-s) (Fig. 12). An important feature of

the Ge nanowire transistors is that the processing temperature for the synthesis and

every fabrication step is �

400 ºC, opening a possibility of three-dimensional

integrated circuits comprised of chemically derived Ge nanowires.

Opertaing similar to the Double-Gate heterostructures or Depletion-Mode FETs that

we have studied [7.5-7.6], nanowire surround-gate FETs with hetero-shells comprising

of layers of strained or relaxed-Si, Ge or SiGe can be envisioned. These devices, can

fabricated by a bottom-up technique and would offer the best properties of high-

mobility transport combined with the superior electrostatic control of surround-gate

FETs.

Page 186: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

147

Fig. 7.11. (top) TEM image of a 4nm nanowire growing from a 3nm particle and (bottom) HR TEM showing the lattice structure of a 3nm nanowire.

Fig. 7.12. (a) Tope view SEM of the device showing S/D regions, the nanowire bridge on the SiO2 dielectric. (b) Schematic side view of the device. (c) Id-Vg curves for the device at different drain biases. (d) Id-Vd curves for the device at different gate biases. (e) The estimated mobility for the device as a function of gate bias.

Page 187: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

148

7.4 Effect Of Process Induced Variation (PIV) On Device

Performance In High Mobility MOSFETs

We have developed a self-consistent, analytical simulator that captures all the physical

phenomena in DGFETs accurately [7.7]. The simulator includes models to capture

carrier quantization in thin bodies, short channel effects and ballistic currents (Fig. 13).

Using this new tool to compare the effect of variations on Si and Ge NMOS DGFETs,

we find that Ge devices are very strongly affected by Process Induced Variations (Fig.

14). In the case of DGFETs designed to meet the ITRS High Performance (HP)

requirements, Ge outperforms Si. However, due to its lower immunity to PIV, in the

case of DGFETs designed to meet the ITRS Low Standby Power (LSTP)

requirements, Ge performs worse than Si (Fig. 15). This effect is further exacerbated

as we scale the technology node to smaller dimensions.

The effect of Process Induced Variation (PIV) is becoming increasingly important in

device design. The above methodology compares the ballistic performance of different

high mobility channel materials and device structures under the influence of PIV and

can easily be employed to benchmark different materials, like carbon nanotubes, III-V

compounds, strained-Ge/Si etc. and device structures, like heterostructure, double-

gate, tri-gate, surround-gate nanowire FETs etc.

Page 188: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

149

Fig. 7.13. Flowchart showing the simulation methodology used.

Fig. 7.14. Comparison of the Id-Vg for the worst-case ON device to the nominal for Si and Ge.

Fig. 7.15. Effect of the worst-case Process Induced Variation (PIV) for Si and Ge devices, as we scale down from 25nm to 14nm.

Page 189: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

150

7.5 Modeling Schottky-Barrier Carbon Nanotube MOSFETs In The

Ballistic Regime

The theoretical performance of Carbon Nanotube Field Effect Transistors (CNFETs)

with Schottky barriers (Fig. 16) was examined by means of a ballistic model [7.8]. A

novel approach was used to treat the Schottky barriers at the metal-nanotube contacts

as mesoscopic scatterers (Fig. 17). Evanescent-mode analysis was used to derive a

length-scale and potential profile for the device. Using this model, we observed that

despite the very short scale lengths of these devices the current is still suppressed

noticeably by Schottky barriers (Fig. 18). The upper limit of the current is still an order

of magnitude higher than the best experimental data, which hints that most of the

fabricated devices may still suffer from contact issues. Carrier scattering due to

excitation of optical phonons at higher biases, will also degrade channel transmission

and the ON current. We have successfully developed a model, suitable for

implementation in SPICE®, which captures the effects of the SB in CNFETs and its

consequences on degradation of the drain current and the quantum conductance. We

have also derived a length-scale for CNFET devices based on evanescent-mode

analysis and explored various device design parameters such as oxide thickness (Fig.

19), tube diameter, chirality (Fig. 20) and SB height.

The model developed to simulate CNFETs can now be used to carry out a systematic

comparison between SB CNFETs with other high mobility FETs in the ballistic regime

to evaluate their ultimate circuit performance. The above model was developed for a

Page 190: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

151

Fig. 7.16. (a) Device geometry cross-sections along the channel direction and normal to the nanotube. (b) Energy in the radial direction with the superimposed E-k diagram.

Fig. 7.17. Energy distribution of the carriers and the chemical potential, in the presence of a scattering center before the ballistic channel.

Page 191: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

152

Fig. 7.18. Id-Vd plots for a (10,0) nanotube with d=0.8nm, tox=4nm, εox=20, EG =1eV and L=100nm, with varying Schottky barrier heights.

Fig. 7.19. Id-Vd plots for a (19,0) nanotube with mid-gap schottky barrier and varying oxide thicknesses.

Fig. 7.20. Id-Vd for a (19,0) nanotube (zigzag, dash-dotted line), (18,2) nanotube (chiral,dashed line) and (16,5) nanotube (solid line).

Page 192: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

153

carbon nanotube SB FET but can be extended to analyze and compare future high-

mobility MOSFETs using SB and doped S-D junctions for their contacts. The tradeoffs

are mainly between the tunneling resistance component of the schottky barrier and the

parasitic series resistance of a doped S-D junction.

7.6 Band-To-Band-Tunneling Modeling, Device Structures And

Experiments

We have developed a Band-to-Band Tunneling model, which captures band structure

information, all possible transitions between bands (Full Band) (Fig. 21), energy

quantization and quantized density of states (Fig. 22) [7.9]. This new model is

implemented to study 15nm DGFETs with various futuristic high-mobility channel

materials, like GaAs, InAs, Ge and strained Si/Ge. The possibility of adopting these

new materials, in terms of BTBT leakage current, is examined. We suggest a new

design rule (Fig. 23), which constrains the maximum allowable VDD at a given body

thickness, to meet the Off-state specifications in DGFET with various high-mobility /

small bandgap channel materials.

Modeling and understanding BTBT for different device structures and novel high

mobility channel materials is an extremely important study. As seen from some of our

earlier discussion [7.5-7.6], this work will allow us to evaluate novel high mobility

materials in terms of their scalability and to come up with innovative structures and

Page 193: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

154

Fig. 7.21. All possible (direct and indirect) tunneling paths, Γ-Γ, Γ-X and Γ-L are captured by the model.

Fig. 7.22. Ultra-thin body and larger quantization increases the effective bandgap and lowers the tunneling rate.

Fig. 7.23. Iso-leakage (Ioff,min) contours. The curves determine the maximum allowable Vdd to meet the ITRS off-current requirement for a LG=15nm device at a given body thickness. s-Si cannot be used for >0.5V operation, Ge and InAs can be used for 0.9V operation when TBody <5nm. For TBody <7nm s-Ge can be used for >1V operation. Si and GaAs comfortably meet the ITRS off-current specification because of their large bandgap.

Page 194: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

155

physics, which can allow us to reduce the BTBT leakage component in small bandgap

materials.

On the other hand, we would like to investigate novel BTBT FETs, which utilize the

tunneling mechanism, to obtain extremely steep sub-threshold slopes (faster than

‘kT/q’) and high drive currents [7.10]. This requires the development and use of very

accurate BTBT models to capture all the essential physical mechanisms. Simulations

and experiments to understand these device structures will also be extremely useful.

Page 195: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

156

Chapter 8

Conclusions

Due to several fundamental and manufacturing limits, it is becoming clear that

new materials and/or structures will be needed to supplement or even replace the

conventional bulk MOSFET in future technology generations. In this thesis, we have

introduced novel, strained germanium, heterostructure, Double Gate (DG) MOSFETs,

which can significantly reduce the off-state leakage currents, while retaining their high

current drivability and excellent electrostatic control.

We have looked at the various challenges encountered in improving the

performance of nanoscale MOSFETs. Some of the current approaches to engineering

the device structure and the channel material to improve the overall device

performance have been discussed. The very high channel mobility in strained-SiGe

combined with its ease of integration into a conventional Si substrate technology,

makes it a very attractive material for future nanoscale MOSFETs. We have presented

the electrical and material properties, the growth technologies, and some of the recent

experimental results obtained on strained-SiGe MOSFETs. The electrical properties,

like bandstructure, effective mass and carrier mobility, of Si(1-x)Gex alloys going

Page 196: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

157

from Si(x=0) all the way to Ge(x=1) and the effect of strain on their bandstructure,

have been examined. Some of the key material properties and growth technologies,

which are currently being researched, to enable the use of strained-SiGe alloys as high

mobility channel materials in nanoscale MOSFETs, have been discussed. Finally, we

have looked at some of the state-of-the-art experimental research results on p-

MOSFETs utilizing strained-SiGe layers with high Ge fraction (>0.5) for hole

mobility enhancement.

Large Band-To-Band Tunneling (BTBT) leakage currents can ultimately limit

the scalability of high mobility (small bandgap) materials. We have introduced novel

Heterostructure DGFETs, which can significantly reduce the BTBT leakage currents,

while retaining their high current drivability and excellent electrostatic control. In

particular, through 1-D Poisson-Schrodinger, Full-band Monte-Carlo and detailed

BTBT simulations, we have thoroughly analyzed high mobility, sub-20nm, Si-strained

SiGe-Si, heterostructure PMOS DGFETs with very high Ge concentration. Our results

show a dramatic (>100X) reduction in BTBT and excellent electrostatic control

(<10%), while maintaining very high drive currents (~50%), cut-off frequencies

(1000GHz) and lower power dissipation (compared to conventional Si devices),

making them suitable for scaling into the sub-20nm regime.

For the first time, the tradeoffs between higher mobility (smaller bandgap)

channel and lower Band-To-Band-Tunneling (BTBT) leakage have been investigated.

In particular, through detailed experiments and simulations we examine the transport

and leakage in ultra-thin, strained-Ge MOSFETs on bulk and SOI. In the case of

Page 197: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

158

strained-Ge MOSFETs on bulk Si the resulting optimal structure obtained was an

ultra-thin, low defect, 2nm fully strained Ge epi channel on relaxed Si, with a 4nm Si

cap layer. The fabricated device shows very high mobility enhancements >3.5X over

bulk Si devices, 2X mobility enhancement and >10X BTBT reduction over 4nm

strained Ge and surface channel strained SiGe (50%) devices. Strained-SiGe

MOSFETs having ultra-thin (UT) (TGe<3nm), very high germanium fraction (~80%)

channel and Si cap (TSi cap<3nm) have also been successfully fabricated on thin

relaxed SOI substrates (TSOI=9nm). The tradeoffs in obtaining a high mobility

(smaller bandgap) channel with low tunneling leakage, on UT-SOI, have been

investigated in detail. The fabricated device shows very high mobility enhancements

of >4X over bulk Si devices, >2.5X over SSDOI (strained to 20% relaxed SiGe)

devices and >1.5X over 60% strained SiGe (on relaxed bulk Si) devices.

The optimal channel materials and device structures for future nanoscale p-

MOSFETs are obtained through detailed BTBT (including band structure and

quantum effects), Full-Band Monte-Carlo and 1-D Poisson-Schrodinger Simulations

on ultra-thin (5nm), nano-scale (15nm) DG MOSFETs. The tradeoffs between drive

current (ION), intrinsic delay (τ), band-to-band tunneling (BTBT) leakage, short

channel effects (SCE) and long-channel mobility (µ), have been systematically

compared in futuristic high mobility channel materials, like strained-Si (0-100%),

strained-SiGe (0-100%) and relaxed-Ge. Our results show that (x,0) strained-SiGe

becomes the material of choice compared to (0,x) strained-Si for x>0.6. The highest

performance is obtained in compressively strained, Ge MOSFETs (>2X enhancement

Page 198: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

159

compared to r-Si). Applying biaxial compressive strain to Ge increases its

performance while simultaneously lowering the leakage. The optimum leakage point

is obtained for (1,0.6) s-Ge (<10X compared to r-Ge). The heterostructure DG

MOSFET geometry can further increase the switching frequency (>2X) and reduce the

off-state leakage (<~10X) compared to a surface channel FET from the same material.

A good combination of channel material and device structure for optimal performance

can be obtained in a sub-20nm, (1,0) s-Ge heterostructure p-MOSFET with an ultra-

thin (~2-3nm) strained-Ge channel.

Page 199: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

160

References

Chapter 1

[1.1] D. Kahng and M. M. Atalla, “Silicon-silicon dioxide field induced surface

devices,” in IRE-AIEEE Solid-State Device REs. Conf. (Carnegie Inst. of Tech.,

Pittsburgh, PA), 1960.

[1.2] G. E. Moore, “Cramming More Components onto Integrated Circuits,”

Electronics, vol. 38, no. 8, April 19th 1965.

Chapter 2

[2.1] R. H. Dennard, F. H. Gaensslen, H. Yu, V. L. Rideout, E. Bassons, and A. R.

LeBlanc, “Design of ion-implanted MOSFETs with very small physical dimensions,”

IEEE J. Solid State Circuits, vol. 9, pp. 256-268, 1974.

[2.2] P. Wright and K. C. Saraswat, “Thickness limitations of SiO2 gate dielectrics for

MOS ULSI,” IEEE Trans. Electron Devices., vol. 37, no. 8, pp. 1884-1892, 1990.

[2.3] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-k gate dielectrics: Current

status and materials properties considerations,” J. Appl. Phys., vol. 89, no. 10, pp.

5243-5275, 2001.

Page 200: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

161

[2.4] Y. Taur, C. H. Wann, and D. J. Frank, “25 nm CMOS design considerations,” in

IEDM Tech. Dig., p. 789-792, 1998.

[2.5] A. Asenov, “Random dopant induced threshold voltage lowering and fluctuations

in sub 0.1 µm MOSFETs: A 3D atomistic simulation study,” IEEE Trans. Electron

Devices, vol. 45, pp. 2505-2513. 1998.

[2.6] J. D. Plummer, P. B. Griffin, “Material and process limits in silicon VLSI

technology”, Proceedings of the IEEE, March 2001, vol. 89, no. 3, pp. 240-258.

[2.7] M. M. Pelella et al, “Advantages and challenges of high performance CMOS on

SOI,” in 2001 IEEE International SOI Conf. Proc., 2001, pp. 1-4.

[2.8] H.-F. Wei et al, “Suppression of parasitic bipolar effects and off-state leakage in

fully-depleted SOI n-MOSFETs using Ge-implantation,” IEEE Transactions on

Electron Devices, Dec. 1995, vol. 2, no. 12, pp. 2096-2103.

[2.9] J. Sleight and K. Mistry, ”A compact Schottky body contact technology for SOI

transistors,” in IEDM Tech. Dig., 1997, pp. 419-422.

[2.10] G. Shahidi, “SOI technology for the GHz era,” IBM Journal of Research and

Development, vol. 46, nos. 2/3, pp. 121-132, 2002.

[2.11] M. Horstmann et al, “Advanced transistor structures for high performance

micro-processors,” in 2004 International Conference on Integrated Circuit Design and

Technology, 2004, pp. 65-71.

[2.12] D. Hisamoto et al, “A compact FD-SOI MOSFET fabrication process featuring

SixGe1-x gate and damascene-dummy SAC,” in Digest of Technical Papers –

Symposium on VLSI Technology, 2000, pp. 208-209.

Page 201: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

162

[2.13] R. Chau et al, “A 50nm depleted-substrate CMOS transistor (DST),” in IEDM

Technical Digest, 2001, pp. 621-624.

[2.14] C. Auth, “Physics and technology of vertical surrounding gate MOSFETs,” Ph.

D Thesis, Stanford University, 1998.

[2.15] D. Hisamoto et al, “Folded-channel MOSFET for deep-sub-tenth micron era,”

in IEDM Technical Digest, 1998, pp.1032-1034.

[2.16] H.-S. P. Wong, K. K. Chan and Y. Taur, “Self-aligned (top and bottom) double-

gate MOSFET with a 25nm thick silicon channel,” in IEDM Technical Digest, 1997,

pp. 427-430; S.-Y. Lee et al, “Sub-25nm single-metal gate CMOS Multi-Bridge-

Channel MOSFET (MBCFET) for high performance and low power application,” in

Digest of Technical papers – Symposium on VLSI Technology, 2005, pp. 154-155.

[2.17] K. Natori, J. Appl. Phys., vol. 76, p. 4879 (1994).

[2.18] M. S. Lundstrom, IEEE Electron Device Lett. 18, p. 361 (1997).

[2.19] M. S. Lundstrom, IEEE Electron Device Lett. 22, p. 293 (2001).

[2.20] T. Krishnamohan et al, “High-mobility ultrathin strained Ge MOSFETs on bulk

and SOI with low band-to-band tunneling leakage: Experiments”, IEEE Trans. Electr.

Dev., vol. 53, no.5, May 2005, pp. 990-999; J. J. Rosenberg and S. C. Martin, “Self-

aligned germanium MOSFETs using a nitrided native oxide gate insulator,” IEEE

Electron Dev. Lett., vol. 9, pp. 639-640, Dec. 1998; S. Datta et al, “85nm gate length

enhancement and depletion mode InSb quantum well transistors for ultra-high speed

and very low power digital logic applications,” IEDM Technical Digest, 2005, pp.

Page 202: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

163

783-786; P. Avouris et al, “Carbon nanotube electronics,” Proceedings of the IEEE,

vol. 91, Nov. 2003, pp. 1772-1784.

[2.21] J. J. Welser et al, “Electron mobility enhancement in strained-Si n-type

MOSFETs,” in IEEE Electron Device Lett., vol. 15, pp. 100-102, Mar. 1994.

[2.22] T. Ghani et al, “A 90nm high volume manufacturing logic technology featuring

novel 45nm gate length strained silicon CMOS transistors,” in IEDM Tech. Dig., 2003,

pp. 978-980.

[2.23] G. Dorda, “Piezo-resistance in quantized conduction bands in silicon inversion

layers,” J. Appl. Phys., vol. 42, no. 5, pp. 2053-2060, Apr. 1971.

[2.24] M. Yang et al, “High performance CMOS fabricated on hybrid substrate with

different crystal orientations,” in IEDM Tech. Dig., 2003, pp. 453-456.

[2.25] S. Takagi et al, J. Appl. Phys., 80, p. 1567 (1996).

[2.26] C. S. Smith, Phys. Rev. 94, p. 42 (1954).

[2.27] D. Colman et al, J. Appl. Phys. 39, p. 1923 (1968).

[2.28] G. Dorda, J. Appl. Phys. Vol. 42, p. 2053 (1971).

[2.29] A. Hamada et al, IEEE Trans. Electron Dev., 38, p. 895 (1991).

[2.30] J. J. Welser et al, Int. Electron Devices Meet. Technical Digest, p. 373 (1994).

[2.31] T. Mizuno et al, Proc. VLSI Symposium, p. 210 (2000).

[2.32] T. Mizuno et al, IEEE Electron Device Lett., 21, p. 230 (2000).

[2.33] K. Rim et al, Proc. VLSI Symposium, p. 59 (2001).

[2.34] L.-J. Huang et al, Proc. VLSI Symposium, p. 57 (2001).

[2.35] Z.-Y. Cheng et al, IEEE Electron Device Lett. 22, p. 321 (2000).

Page 203: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

164

[2.36] M. T. Currie et al, J. Vac. Sci. Tech., B19, p. 2268 (2001).

[2.37] N. Sugii et al, Int. Electron Device Meeting Technical Digest, p. 737 (2001).

[2.38] T. Tezuka et al, Proc. VLSI Symposium, p. 96 (2002).

[2.39] K. Rim et al, Proc. VLSI Symposium, p. 98 (2002).

[2.40] T. Mizuno et al, Proc. VLSI Symposium, p. 106 (2002).

[2.41] S. Takagi et al, International Electron Devices Meeting Technical Digest, p. 57

(2003).

[2.42] T. Numata et al, Int. Electron Devices Meeting Tech. Dig., p. 177 (2004).

[2.43] M. Rashed et al, International Electron Devices Meeting Tech. Dig., p. 765

(1995).

[2.44] T. Mizuno et al, IEEE Trans. Electron Devices, vol. 49, p. 7 (2002).

[2.45] M. V. Fischetti et al, J. Appl. Phys., vol. 92, p. 7320 (2002).

[2.46] S. Thompson et al, Int. Electron Dev. Meeting Tech. Dig., p. 61 (2002).

[2.47] T. Ghani et al, International Electron Device Meeting Tech. Dig., p. 978 (2002).

[2.48] S. Thompson et al, IEEE Electron Dev. Lett., vol. 25, p. 191 (2004).

[2.49] S. Thompson et al, Int. Electron Device Meeting Tech. Dig., p. 221 (2004).

[2.50] M. Uchida et al, Int. Conf. Simulation of Semiconductor Processes and Devices,

p. 315 (2005).

[2.51] K. Rim et al, Int. Electron Device Meeting Tech. Dig., p. 517 (1995).

[2.52] D. K. Nayak et al, IEEE Trans. Electron Devices, vol. 43, p. 1709 (1996).

[2.53] C. K. Maiti et al, Solid State Electron., vol. 41, p. 1863 (1997).

Page 204: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

165

[2.54] T. Tezuka et al, Abs. 25th International Conference on the Physics of

Semiconductors, H-270, p. 629 (2000).

[2.55] T. Mizuno et al, IEEE Trans. Electron Devices, vol. 48, p. 1612 (2001).

[2.56] T. Mizuno et al, IEEE Trans. Electron Devices, vol. 50, p. 988 (2003).

[2.57] C. W. Leitz et al, J. Appl. Phys., vol. 92, p. 3745 (2002).

[2.58] R. Oberhuber et al, Phys. Rev. B, vol. 58, p. 9941 (1998).

[2.59] H. Nakatsuji et al, Int. Electron Devices Meeting Tech. Dig., p. 727 (2002).

[2.60] T. Mizuno et al, Int. Electron Devices Meeting Tech. Dig., p. 31 (2002).

[2.61] T. Mizuno et al, IEEE Trans. Electron Dev., vol. 51, p. 1114 (2004).

[2.62] M. V. Fischetti et al, J. Appl. Phys., vol. 94, p. 1079 (2003).

[2.63] L. Shifren et al, Appl. Phys. Letters, vol. 85, p. 6188 (2004).

[2.64] F. Schaffler, Semicond. Sci. Technology, vol. 12, p. 1515 (1997).

[2.65] M. L. Lee et al, J. Appl. Phys., vol. 97, 011101 (2005).

[2.66] J. J. Welser et al, IEEE Electron Dev. Lett., vol. 15, p. 100 (1994).

[2.67] T. Mizuno et al, IEEE Electron Dev. Lett., vol. 21, p. 230 (2000).

[2.68] S. Takagi et al, IEICE Trans. Electron. E84-C, p. 1043 (2001).

[2.69] T. A. Langdo et al, Appl. Phys. Lett., vol. 82, p. 4256 (2003).

[2.70] K. Rim et al, Int. Electron Devices Meeting Tech. Dig., p. 49 (2003).

[2.71] K. W. Ang et al, Int. Electron Devices Meeting Tech. Dig., p. 1069 (2004).

[2.72] F. Ootsuka et al, Int. Electron Devices Meeting Tech. Dig., p. 575 (2000).

[2.73] A. Shimizu et al, Int. Electron Devices Meeting Tech. Dig., p. 433 (2001).

[2.74] H. S. Yang et al, Int. Electron Devices Meeting Tech. Dig., p. 1075 (2004).

Page 205: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

166

[2.75] S. Tiwari et al, Int. Electron Devices Meeting Tech. Dig., p. 939 (1997).

[2.76] K. Ota et al, Int. Electron Devices Meeting Tech. Dig., p. 27 (2002).

[2.77] Z. Krivokapic et al, Int. Electron Devices Meeting Tech. Dig., p. 445 (2003).

[2.78] S. Takagi, “Re-examination of Sub-band structure engineering in short channel

MOSFETs under ballistic carrier transport,” Proc. VLSI symposium, p. 115 (2003).

[2.79] T. Ando et al, “Electronic properties of two-dimensional systems,” Reviews of

modern physics, vol. 54, p. 437 (1982).

[2.80] A. Rahman et al, “Generalized effective-mass approach for n-type MOSFETs

on arbitrarily oriented wafers,” Journal of Appl. Phys., vol. 97, p. 053702 (2005).

[2.81] F. Stern et al, Phys. Rev., vol. 163, p. 816 (1967); F. Stern, Phys. Rev. B, vol. 5,

p. 4891 (1972).

[2.82] S. Laux, “Simulation study of Ge n-channel 7.5nm DGFETs of arbitrary

crystallographic alignment,” Intl. Electron Devices Meeting Tech. Dig., p. 135 (2004).

[2.83] A. Ritenour et al, “Epitaxial strained germanium p-MOSFETs with HfO2 gate

dielectric and TaN gate electrode,” Intl. Electr. Dev. Meeting Tech. Dig., p. 433

(2003).

[2.84] T. Akatsu et al, “200m GOI by smart cut technology and recent GeOI

pMOSFET achievements,” Proc. IEEE Intl. SOI conference, p.137 (2005).

[2.85] H. Shang et al, “Electrical Characterization of Ge p-channel MOSFETs,” IEEE

Elec. Dev. Lett., vol. 24, p. 242 (2003).

Page 206: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

167

[2.86] A. Ritenour et al, “Epitaxial strained germanium p-MOSFETs with HfO2 gate

dielectric and TaN gate electrode,” Intl. Electr. Dev. Meeting Tech. Dig., p. 433

(2003).

[2.87] C. H. Huang et al, “Very low defects and high performance GOI p-MOSFETs

with Al2O3 gate dielectrics,” Proc. Symposium on VLSI Technology, p. 119 (2003).

[2.88] F. Schaffler, “High mobility Si and Ge structures,” Semicond. Sci. Tech., vol.

12, p. 1515 (1997).

[2.89] M. Reiger and P. Vogl, “Electronic-band parameters in strained Si(1-x)Gex alloys

on Si(1-y)Gey substrates,” Phys. Rev. B, vol. 48, p. 14276 (1993).

[2.90] J. R. Watling et al, “Quantum potential corrections for spatially dependent

effective masses with applications to charge confinement at heterostructure

interfaces,” J. Comp. Electronics, vol. 1, p. 279 (2002).

[2.91] G. Hock et al, “High hole mobility in Si0.17Ge0.83 channel MOSFETs grown by

PECVD,” Appl. Phys. Letters, vol. 76, p. 3920 (2000).

[2.92] H. Shang et al, “Selectively formed high mobility strained-Ge PMOSFETs for

high performance CMOS,” Intl. Electr. Dev. Meeting Tech. Dig., p. 157 (2004).

[2.93] A. Ritenour et al, “Epitaxial strained germanium p-MOSFETs with HfO2 gate

dielectric and TaN gate electrode,” Intl. Electr. Dev. Meeting Tech. Dig., p. 433

(2003).

[2.94] M. L. Lee et al, “Optimized strained-Si/strained-Ge dual channel

heterostructures for high mobility p- and n-MOSFETs,” Intl. Elec. Dev. Meet. Tech.

Dig., p. 429 (2003).

Page 207: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

168

[2.95] T. Tezuka et al, “High mobility strained SiGe on insulator p-MOSFETs with Ge

rich surface channels fabricated by local condensation technique,” vol. 26, p. 243

(2005).

Chapter 3

[3.1] M. V. Fischetti and S. Laux, “Band structure, deformation potentials, and carrier

mobility in strained Si, Ge and SiGe alloys,” J. Appl. Phys., vol. 80, p. 2234 (1996).

[3.2] M. Reiger and P. Vogl, “Electronic-band parameters in strained Si(1-x)Gex alloys

on Si(1-y)Gey substrates,” Phys. Rev. B, vol. 48, p. 14276 (1993).

[3.3] C. G. Van de Walle and R. M. Martin, “Theoretical calculations of

heterojunction discontinuities in the Si/Ge system,” Phys. Rev. B, vol. 34, p. 5621

(1986); C. G. Van de Walle and R. M. Martin, “Theoretical study of band offsets at

semiconductor interfaces” Phys. Rev. B, vol. 35, p. 8154 (1987).

[3.4] J. C. Hensel and G. Feher, Phys. Rev., vol. 129, p. 1041 (1963).

[3.5] T. Manku and A. Nathan, J. Appl. Phys., vol. 73, p. 1205 (1993).

[3.6] L. Nordheim, Ann. Phys., vol. 9, p. 607 (1931).

[3.7] J. W. Matthews and A. E. Blakesee, J. Cryst. Growth (Netherlands), vol. 27, p.

118 (1974).

[3.8] D. C. Houghton, J. Appl. Phys., vol. 70, p. 2136 (1991).

[3.9] E. Kaspar and K. Lyutovich, “Properties of silicon germanium and

SiGe:carbon,” IEE INSPEC, 2000; M. R. Caymax and W. Y. Leong, Advanced

Page 208: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

169

Silicon and Semiconducting Silicon-alloy based materials and devices (Ed: J. F. A.

Nijs), IOP Publishing, 1993.

[3.10] E. Kaspar, F. Schaffler, Semicond. Semimet, vol. 33, p. 223 (1991).

[3.11] Y. Shiraki, in The technology and physics of MBE (Ed. E. H. C. Parker),

Plenum press, NY, p. 345 (1985).

[3.12] M. Mokler et al, Appl. Phys. Lett., vol. 61, p. 2548 (1992).

[3.13] J. C. Bean et al, “GeSi / Si strained-layer superlattice grown by MBE,” J. Vac.

Sci. Tech. A, vol. 2, p. 436 (1984).

[3.14] T. Krishnamohan et al, Proc. VLSI Symp., p. 82 (2005).

[3.15] T. Krishnamohan et al, IEEE Trans. Elec. Dev., vol. 53, p. 990 (2005).

[3.16] T. Krishnamohan et al, Proc. VLSI Symp., Honolulu, (2006).

[3.17] D. J. Eaglesham et al, Phys. Rev. Lett., vol. 64, p. 1943 (1990).

[3.18] F. Schaffler et al, “High electron mobility Si/SiGe heterostructures: Influence of

the relaxed SiGe buffer layer,” Semicond. Sci. Tech., vol. 7, p. 260 (1992).

[3.19] C. W. Liu et al, “Mobility enhancement technologies,” IEEE circuits and

devices magazine, p. 21 (2005).

[3.20] D. Paul, “Silicon-germanium strained layer materials in microelectronics”, Adv.

Mater., vol. 11, p. 191 (1999).

[3.21] A. C. Churchill et al, “2-D electron gas mobility as a function of virtual

substrate quality in strained-Si/SiGe heterojunctions, J. Vac. Sci. Tech. B, vol. 16, p.

1634 (1998).

[3.22] M. L. Lee et al, J. Appl. Phys., vol. 97, 011101 (2005).

Page 209: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

170

[3.23] S. Nakaharai et al, “Characterization of 7nm thick strained GOI layer fabricated

by Ge condensation technique,” Appl. Phys. Lett., vol. 83, p. 3516 (2003).

[3.24] G. Hock et al, “High hole mobility in Si0.17Ge0.83 channel MOSFETs grown by

PECVD,” Appl. Phys. Letters, vol. 76, p. 3920 (2000).

[3.25] T. Tezuka et al, “Ultrathin body SiGe on insulator pMOSFETs with high

mobility SiGe surface channels,” IEEE Trans. Elec. Dev., vol. 50, p. 1328 (2003).

[3.26] T. Tezuka et al, “Selectively formed high mobility SiGe on insulator

pMOSFETs with Ge-rich strained surface channels using local condensation

technique,” Proc. VLSI Symp., p. 198 (2004).

[3.27] M. J. Palmer et al, Appl. Phys. Lett., vol. 78, p. 1424 (2001).

[3.28] M. L. Lee et al, “Optimized strained-Si/strained-Ge dual channel

heterostructures for high mobility p- and n-MOSFETs,” Intl. Elec. Dev. Meet. Tech.

Dig., p. 429 (2003).

[3.29] A. Ritenour et al, “Epitaxial strained germanium p-MOSFETs with HfO2 gate

dielectric and TaN gate electrode,” Intl. Electr. Dev. Meeting Tech. Dig., p. 433

(2003).

[3.30] H. Shang et al, “Selectively formed high mobility strained-Ge PMOSFETs for

high performance CMOS,” Intl. Electr. Dev. Meeting Tech. Dig., p. 157 (2004).

Page 210: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

171

Chapter 4

[4.1] R. Chau, “Benchmarking nanotechnology for high performance and low-power

logic transistor applications”, in IEEE Conference on Nanotechnology, 2004, pp. 3-6 I.

[4.2] S. Datta, G. Dewey, M. Doczy, B. Doyle, B. Jin, J. Kavalieros, R. Kotlyar, M.

Metz, N. Zelick, R. Chau, “High mobility Si/SiGe strained channel MOS transistors

with HfO2/TiN gate stack”, in IEEE International Electron Devices Meeting, 2003, pp.

18.1.1-28.1.4

[4.3] T. Tezuka, N, Sugiyama, T. Mizuno, S.Takagi, “Ultrathin body SiGe-on inslator

pMOSFETs with high-mobility SiGe surface channels”, in IEEE Transactions on

Electron Devices, 2003, vol.50, no. 5, pp. 1328-1333

[4.4] H. Shang, K-L. Lee, P. Kozlowski, C. Dapos Emic, I. Babich, E. Sikorski, M.

Ieong, H.-S.P. Wong, K. Guarini, W. Haensch, “Epitaxial silicon and germanium on

buried insulator heterostructures and devices,” in Applied Physics Letters, 2003, vol.

83, no. 26, pp. 5443-5445.

[4.5] H. Shang, J. O. Cho, X. Wang, P. M. Mooney, K. Lee, J. Ott. K. Rim, K, Chan,

K. Guarinin, M, Ieong, “Channel Design and mobility enhancement in strained

germanium buried channel MOSFETs”, in IEEE VLSI Technology Symposium, 2004,

pp. 204-05

[4.6] A. Ritenour, S. Yu, M. L. Lee, Z. Lu, W. Bai, A. Pitera, E. Fitzgerald, D. Kwong,

D. Antoniadis, “Epitaxial strained germanium pMOSFETs with HFO 2 gate dielectrics

Page 211: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

172

and TaN gate electrode”, in IEEE International Electron Devices Meeting, 2003,

pp.18.2.1-18.2.4.

[4.7] M. Lee, E. Fitzgerald, M Bulsara, M. Currie. A. Lochtefeld, “Strained Si, SiGe

and Ge channels for high mobility metal oxide semiconductor field effect transistors”

in Journal of Applied Physics, 2005, vol. 97, pp. 011101-1 to 0111101-27

[4.8] T. Krishnamohan, Z. Krivokapic, K. Uchida, Y. Nishi, K. Saraswat, “Low defect

ultra thin fully strained Ge MOSFET on relaxed Si with high mobility and low band-

to-band-tunneling (BTBT)”, in IEEE VLSI Technology Symposium, 2005, pp.82-83

[4.9] M. Fischetti, “Monte-Carlo simulation of transport in technologically significant

semiconductors of the diamond and zinc-blende structures. I. Homogeneous transport”,

in IEEE Transactions on Electron Devices, 1991, vol. 38, no. 3, pp.634-649.

[4.10] M. V. Fischetti and S.E. Laux, “Band structure, deformation potentials and

carrier mobility in strained Si, Ge and SiGe alloys”, in Journal of Applied Physics,

1996, vol. 80, no. 4, pp.2234-2252

[4.11] E. Kane, “Theory of tunneling”, in Journal of Applied Physics, 1961, vol. 32,

no. 1, pp.83-91

[4.12] TaurusTM Process and Device simulator, Synopsys.

[4.13] C. D. Nguyen, A. T. Pham, C. Jungemann, B. Meinerzhagen: “TCAD ready

density gradient calculation of channel charge for Strained Si/Strained Si(1-x)Gex

dual channel pMOSFETs on (001) Relaxed Si(1-y)Gey”, in Journal of Computational

Electronics, 2004, vol. 3, pp. 193-197.

Page 212: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

173

[4.14] C. Jungemann, B. Meinerzhagen, “Heirarchical device simulation: The Monte

Carlo Perspective”, Springer-Verlag, 2003.

[4.15] T. Krishnamohan, C. Jungemann, K. Saraswat, “Very high performance, sub-

20nm, strained-Si and SiGe heterostructure Center Channel (CC) NMOS and PMOS

FETs” in IEEE Simulation of Semiconductor Processes And Devices, Munich, 2004,

pp.191-194.

[4.16] T. Krishnamohan, C. Jungemann and K. C. Saraswat, "A novel, very high

performance, sub-20nm Depletion-Mode Double-Gate (DMDG) Si/SixGe(1-x)/Si

channel PMOSFET", in IEEE International Electron Devices Meeting, 2003,

pp.29.3.1-29.3.4.

[4.17] C. G. Van de Walle and R. M. Martin, “Theoretical calculations of

heterojunction discontinuities in the Si/Ge system”, in Physical Review B, Oct. 1986,

vol. 34, no. 8, pp. 5621

[4.18] S. M. Sze, “Physics of Semiconductor Devices”, 2nd edition, Wiley-

Interscience publication, 1981.

[4.19] M. S. Hybertsen, “Absorption and Emission of Light in Nanoscale Silicon

Structures”, in Physical Review Letters, Mar. 1994, vol. 72, no. 10, pp. 1514-1517.

[4.20] T. Krishnamohan, Z. Krivokapic, K. Uchida, Y. Nishi and K. C. Saraswat,

“High mobility, Ultra-thin (UT), Strained Ge MOSFETs On Bulk and SOI with low

Band To Band Tunneling (BTBT) Leakage : Experiments”, in IEEE Transactions on

Electron Devices special issue on ‘Non-classical Si CMOS Devices and Technologies:

Extending the Roadmap’, May 2006, vol. 53, pp. 990.

Page 213: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

174

Chapter 5

[5.1] H. Shang, K-L. Lee, P. Kozlowski, C. Dapos Emic, I. Babich, E. Sikorski, M.

Ieong, H.-S.P. Wong, K. Guarini, W. Haensch, “Epitaxial silicon and germanium on

buried insulator heterostructures and devices,” in Applied Physics Letters, 2003, vol.

83, no. 26, pp. 5443-5445.

[5.2] H. Shang, J. O. Cho, X. Wang, P. M. Mooney, K. Lee, J. Ott. K. Rim, K, Chan,

K. Guarinin, M, Ieong, “Channel Design and mobility enhancement in strained

germanium buried channel MOSFETs”, in VLSI Technology, 2004, pp. 204-05

[5.3] S. Datta, G. Dewey, M. Doczy, B. Doyle, B. Jin, J. Kavalieros, R. Kotlyar, M.

Metz, N. Zelick, R. Chau, “High mobility Si/SiGe strained channel MOS transistors

with HfO2/TiN gate stack”, in IEDM 2003, pp. 18.1.1-28.1.4

[5.4] T. Tezuka, N, Sugiyama, T. Mizuno, S.Takagi, “Ultrathin body SiGe-on inslator

pMOSFETs with high-mobility SiGe surface channels”, in IEEE Transactions on

Electron Devices, 2003, vol.50, no. 5, pp. 1328-1333

[5.5] A. Ritenour, S. Yu, M. L. Lee, Z. Lu, W. Bai, A. Pitera, E. Fitzgerald, D. Kwong,

D. Antoniadis, “Epitaxial strained geramnium pMOSFTs with HFO 2 gate dielectrics

and TaN gate electrode”, in IEDM 2003, pp.18.2.1-18.2.4.

[5.6] T. Krishnamohan, Z. Krivokapic, K. Uchida, Y. Nishi, K. Saraswat, “Low defect

ultra thin fully strained Ge MOSFET on relaxed Si with high mobility and low band-

to-band-tunneling (BTBT)”, in Symposium on VLSI Technology, 2005, pp.82-83

Page 214: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

175

[5.7] I. Aberg, O. Olubuyide, J. Li, R. Hull, J. Hoyt, “Fabrication of strained

Si/strained SiGe/strained Si heterostructures on insulator by a bond and etch-back

technique”, in SOI Conference, 2004, pp.35-36

[5.8] T. Krishnamohan, C. Jungemann, K. Saraswat, “Very high performance, sub-

20nm, strained-Si and SiGe heterostructure Center Channel (CC) NMOS and PMOS

FETs” in Simulation of Semiconductor Devices and Processes (SISPAD), Munich,

2004, pp.191-194

[5.9] T. Krishnamohan, C. Jungemann and K. C. Saraswat, "A novel, very high

performance, sub-20nm Depletion-Mode Double-Gate (DMDG) Si/SixGe(1-x)/Si

channel PMOSFET", in IEEE International Electron Devices Meeting (IEDM),

Washington, D.C., Dec. 2003, pp.29.3.1-29.3.4

[5.10] M. Lee, E. Fitzgerald, M Bulsara, M. Currie. A. Lochtefeld, “Strained Si, SiGe

and Ge channels for high mobility metal oxide semiconductor field effect transistors”

in Journal of Applied Physics, 2005, vol. 97, pp. 011101-1 to 0111101-27

[5.11] M. V. Fischetti and S.E. Laux, “Band structure, deformation potentials and

carrier mobility in strained Si, Ge and SiGe alloys”, in Journal of Applied Physics,

1996, vol. 80, no. 4, pp.2234-2252

[5.12] T. Krishnamohan, Z. Krivokapic, K. Uchida, Y. Nishi and K. C. Saraswat,

“High mobility, Low Band To Band Tunneling (BTBT), Strained Germanium, Double

Gate (DG), Heterostructure FETs : Simulations”, in IEEE Transactions on Electron

Devices special issue on ‘Non-classical Si CMOS Devices and Technologies:

Extending the Roadmap’, May 2006, vol. 53, pp. 1000.

Page 215: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

176

[5.13] C. D. Nguyen, A. T. Pham, C. Jungemann, B. Meinerzhagen: “TCAD ready

density gradient calculation of channel charge for Strained Si/Strained Si(1-x)Gex

dual channel pMOSFETs on (001) Relaxed Si(1-y)Gey”, in Journal of Computational

Electronics, 2004, vol. 3, pp. 193-197.

[5.14] C. G. Van de Walle and R. M. Martin, “Theoretical calculations of

heterojunction discontinuities in the Si/Ge system”, in Physical Review B, Oct. 1986,

vol. 34, no. 8, pp. 5621.

Chapter 6

[6.1] T. Krishnamohan et al, Proc. VLSI Symp. 2005, pp.82.

[6.2] T. Krishnamohan et al, IEEE Tran. Elec. Dev., vol. 53, pp. 990-1009, 2006.

[6.3] H. Shang et al, Proc. VLSI Symp. 2004, pp.204.

[6.4] T.Tezuka et al, Proc. VLSI Symp. 2004, pp.198.

[6.5] T. Skotnicki et al, IEEE Circuits and Dev. Mag., 21, pp.16 (2005).

[6.6] P. Verheyen et al, Proc. VLSI Symp. 2005, pp.194.

[6.7] N. Collaert et al, IEEE Trans on Nanotech., vol.1, 2002, pp.190.

[6.8] S. Thompson et al, IEEE Trans. Semi. Manufac., vol.18, 2005, pp.26.

[6.9] M.V.Fischetti et al, Jour. Appl. Phys., 1996, pp.2234.

[6.10] C. Van de Walle et al, Phys. Rev. B, 1986, pp.5621.

Page 216: P A T O H M S G C H MOSFET - Stanford University · and manufacturing limits impede the traditional scaling of transistors. Innovations in ... Ge(x) alloys grown on relaxed Si(1-y)Ge(y)

177

Chapter 7

[7.1] A. Pethe, T. Krishnamohan, D. Kim, S. Oh, P. Wong, Y. Nishi, K. C. Saraswat,

Intl. Elect. Dev. Meeting Tech. Dig., p. 605 (2005).

[7.2] T. Krishnamohan, D. Kim, Z. Krivokapic, K. Uchida, C. Jungemann, C. D.

Nguyen, Y. Nishi and K. C. Saraswat, IEEE Trans. Elec. Dev., vol. 53, p. 990-1009

(2006).

[7.3] T. Krishnamohan, Z. Krivokapic, K. C. Saraswat, IEEE Conference on

Simulation of Semicond. Processes and Devices, p. 243 (2003).

[7.4] D. Wang, Q. Wang, A. Javey, R. Tu, H. Dai, H. Kim, P. McIntyre, T.

Krishnamohan and K. C. Saraswat, App. Phys. Lett., p. 2432 (2003).

[7.5] T. Krishnamohan, C. Jungemann and K. C. Saraswat, IEEE Intl. Elec. Dev.

Meeting Tech. Dig., p. 29.3.1 (2003).

[7.6] T. Krishnamohan, C. Jungemann, K. C. Saraswat, IEEE Conference on

Simulation of Semicond. Processes and Devices, p. 191 (2004).

[7.7] A. Pethe, T. Krishnamohan, K. C. Saraswat, IEEE Conference on Simulation of

Semicond. Processes and Devices, p. 359 (2004).

[7.8] A. Hazeghi, T. Krishnamohan, P. Wong, IEEE Conference on Nanotech., (2006).

[7.9] D. Kim, T. Krishnamohan, K. C. Saraswat, IEEE Conference on Simulation of

Semicond. Processes and Devices, p. 359 (2006).

[7.10] K. Bhuwalka et al, IEEE Trans. Elec. Dev., vol. 52, p. 1541 (2005).