6
On the Variability of HfOx RRAM: From Numerical Simulation to Compact Modeling Ximeng Guan, Shimeng Yu and H.-S. Philip Wong* Center for Integrated Systems and Department of Electrical Engineering, Stanford University, Stanford, CA 94305, USA, *[email protected] ABSTRACT The trap-assisted conduction and filamentary switching mechanisms of the HfOx-based resistive memory are studied. To reproduce the experimental I-V curves, a numerical simulator is developed. Comparison with experiments shows that the cycle-to-cycle variation in the RRAM is mainly due to the variation in the gap distance between the filament tips and the electrode. A set of analytical equations suitable for compact modeling is then derived to capture the switching behavior of metal oxide- based RRAM (OxRRAM). By introducing the random perturbations of the gap size, the model successfully reproduces the measured resistance variation of the multi- level RRAM cell. Keywords: RRAM, resistive switching, compact model, variation 1 INTRODUCTION Metal oxide based resistive random access memory (RRAM) has shown promising performance metrics in the past few years in terms of fast programming and reading speed [1], high endurance [2], low process temperature (<200 °C by ALD), and low programming voltage (<3V). One of the major technical barriers to the large scale manufacturing of metal oxide RRAM is the poor uniformity [3] [4], among which the cycle-to-cycle variation is the least understood. Due to the lack of viable compact models to describe such variability, the impact of the variation on the circuit and system performance has not yet been studied. Recently, we have developed a 2D numerical simulator to study the device variations in HfOx RRAM [5]. Based on the key observations from this numerical simulator as well as the existing compact models for resistive switching memories [6] [7], we extend this work to a physics-based compact model, which enables the description of not only the switching I-V curves but also the transient current fluctuation and the variation of the programmed resistance of RRAM. In this paper, the numerical simulation results are first discussed, followed by the analytical approach. 2 NUMERICAL SIMULATION The bipolar switching behavior of HfOx RRAM involves two aspects, the oxygen ion movement and the electron transport, which are illustrated in Fig. 1 and Fig. 2, respectively [8] [9]. In the SET process, conducting filaments (CFs) are formed by the generation of oxygen vacancies (Vo), while in the RESET process, CFs are ruptured by the oxygen ions that migrate from the oxygen reservoir at the electrode/oxide interface and recombining with the Vo of the CF. The dominant electron conduction mechanism in HfOx-based RRAM was identified by experiments to be the trap-assisted tunneling (TAT) of electrons through the Vo (Fig. 2) [10]. Fig. 1 Schematic of the switching mechanism of RRAM [9] [10]. Fig. 2 Schematic of the trap-assisted tunneling process in RRAM: (1) electrode to trap tunneling, (2) trap to rap tunneling, (3) trap to electrode tunneling [10]. The gap between the filament and the electrode is a central variable in compact modeling. The numerical simulator consists of both a deterministic TAT solver and a stochastic description of the Vo dynamics. Given a spatial distribution map of Vo, the TAT solver evaluates the amount of current flowing through the memory cell. The distribution of Vo is then updated by the part of the simulator that simulates ion dynamics. The NSTI-Nanotech 2012, www.nsti.org, ISBN 978-1-4665-6275-2 Vol. 2, 2012 815

On the Variability of HfOx RRAM: From Numerical …to describe such variability, the impact of the variation on the circuit and system performance has not yet been studied. Recently,

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: On the Variability of HfOx RRAM: From Numerical …to describe such variability, the impact of the variation on the circuit and system performance has not yet been studied. Recently,

On the Variability of HfOx RRAM:

From Numerical Simulation to Compact Modeling

Ximeng Guan, Shimeng Yu and H.-S. Philip Wong*

Center for Integrated Systems and Department of Electrical Engineering,

Stanford University, Stanford, CA 94305, USA, *[email protected]

ABSTRACT

The trap-assisted conduction and filamentary switching

mechanisms of the HfOx-based resistive memory are

studied. To reproduce the experimental I-V curves, a

numerical simulator is developed. Comparison with

experiments shows that the cycle-to-cycle variation in the

RRAM is mainly due to the variation in the gap distance

between the filament tips and the electrode. A set of

analytical equations suitable for compact modeling is then

derived to capture the switching behavior of metal oxide-

based RRAM (OxRRAM). By introducing the random

perturbations of the gap size, the model successfully

reproduces the measured resistance variation of the multi-

level RRAM cell.

Keywords: RRAM, resistive switching, compact model,

variation

1 INTRODUCTION

Metal oxide based resistive random access memory

(RRAM) has shown promising performance metrics in the

past few years in terms of fast programming and reading

speed [1], high endurance [2], low process temperature

(<200 °C by ALD), and low programming voltage (<3V).

One of the major technical barriers to the large scale

manufacturing of metal oxide RRAM is the poor uniformity

[3] [4], among which the cycle-to-cycle variation is the

least understood. Due to the lack of viable compact models

to describe such variability, the impact of the variation on

the circuit and system performance has not yet been studied.

Recently, we have developed a 2D numerical simulator to

study the device variations in HfOx RRAM [5]. Based on

the key observations from this numerical simulator as well

as the existing compact models for resistive switching

memories [6] [7], we extend this work to a physics-based

compact model, which enables the description of not only

the switching I-V curves but also the transient current

fluctuation and the variation of the programmed resistance

of RRAM. In this paper, the numerical simulation results

are first discussed, followed by the analytical approach.

2 NUMERICAL SIMULATION

The bipolar switching behavior of HfOx RRAM

involves two aspects, the oxygen ion movement and the

electron transport, which are illustrated in Fig. 1 and Fig. 2,

respectively [8] [9]. In the SET process, conducting

filaments (CFs) are formed by the generation of oxygen

vacancies (Vo), while in the RESET process, CFs are

ruptured by the oxygen ions that migrate from the oxygen

reservoir at the electrode/oxide interface and recombining

with the Vo of the CF. The dominant electron conduction

mechanism in HfOx-based RRAM was identified by

experiments to be the trap-assisted tunneling (TAT) of

electrons through the Vo (Fig. 2) [10].

Fig. 1 Schematic of the switching mechanism of RRAM [9]

[10].

Fig. 2 Schematic of the trap-assisted tunneling process in

RRAM: (1) electrode to trap tunneling, (2) trap to rap

tunneling, (3) trap to electrode tunneling [10]. The gap

between the filament and the electrode is a central variable

in compact modeling.

The numerical simulator consists of both a deterministic

TAT solver and a stochastic description of the Vo dynamics.

Given a spatial distribution map of Vo, the TAT solver

evaluates the amount of current flowing through the

memory cell. The distribution of Vo is then updated by the

part of the simulator that simulates ion dynamics. The

NSTI-Nanotech 2012, www.nsti.org, ISBN 978-1-4665-6275-2 Vol. 2, 2012 815

Page 2: On the Variability of HfOx RRAM: From Numerical …to describe such variability, the impact of the variation on the circuit and system performance has not yet been studied. Recently,

probability of Vo generation/recombination is determined

by the attempt-to-escape rate at which oxygen jumps over

its formation energy barrier. The average migration velocity

of the oxygen ions is determined by the attempt-to-escape

rate of oxygen ions over the migration barrier [11]. Both

processes are illustrated by Fig. 3. The growth and rupture

rates of filaments are strong functions of the local

temperature and electric field inside HfOx, which are

updated during each simulation step. Detailed formulation

of the simulator is described in [5].

Fig. 3 Schematic of ion migration in the oxide layer. The

black dashed line is the original migration barrier when no

bias is applied. The red curve is the modified barrier when

an external bias is applied.

3 LEARNING FROM THE NUMERICAL

SIMULATION

A complete discussion of the results of the numerical

simulation is reported in [5] [8]. The key observation from

the corroboration of the simulation with experiments is on

the physical origin of variability of the final resistance,

which is illustrated by Fig. 4. Due to the random nature of

ion dynamics, the gap distance between the filament tips

and the electrode shows a random distribution along the

lateral dimension of the cell and between different cycles.

This results in a log-normal distribution of resistance states

as well as tail bits normally observed in experimental

measurements.

The numerical simulation results provide 3 important

clues for compact modeling: 1) The resistance of the cell is

a strong function of the gap size between the filament tip

and the electrode. 2) To model the cycle-to-cycle variation

and transient fluctuation of current, the randomness of

filament growth/rupture has to be considered. 3)

Temperature has a significant effect on the ion dynamics.

4 COMPACT MODEL

To construct a compact model which can be used for

circuit/system simulations, further simplifications and

approximations are required. The following subsections

discuss how the different parts of physics are simplified and

described in the compact model.

Fig. 4 Simulated HRS distribution after 1000 times pulse

cycling. Inset shows the 2D distribution of Vo in the cell

after RESET. The log-normal distribution is due to the

Gaussian distribution of the average gap distances. The tail

bits are due to new Vo near the electrode generated at the

end of the pulse [12].

4.1 Filament Growth and Gap Formation

The central physics of filament growth is the movement

of oxygen ions and the associated vacancy

generation/recombination events, illustrated in Fig. 1 and

Fig. 3. Unlike the numerical simulation where the gap size

is tracked by the Vo distribution, in the compact model it is

simplified to a nominal value g, which serves as the central

variable to store the history. The change of g due to

filament growth/rupture is associated with the probability

for ions to overcome the activation energy barriers,

according to the Arrhenius law:

0 ,

min

dexp / sinh ,

da m

g qa Vv E kT

t LkT

g g

(1)

In (1), stands for the average over different cycles. 0vis the velocity containing the attempt-to-escape frequency,

aE ( mE ) is the activation energy (migration barrier) for

vacancy generation (oxygen migration) in a SET (RESET)

process. L is the thickness of the switching material and a is

the hopping site distance. V is the applied voltage across the

cell. ming is the minimum gap size at which the tip of the

filament is considered to be in contact with the electrode

and the lowest resistance of the cell is achieved. is the

local enhancement factor which takes into account the

polarizability of the material [13], as well as the non-

uniform potential distribution inside the cell. A detailed

analysis of potential distribution inside the cell requires

numerical simulation. The general trend is that the local

electric field in the vicinity of the filament tip increases as

Gap

NSTI-Nanotech 2012, www.nsti.org, ISBN 978-1-4665-6275-2 Vol. 2, 2012816

Page 3: On the Variability of HfOx RRAM: From Numerical …to describe such variability, the impact of the variation on the circuit and system performance has not yet been studied. Recently,

the gap size decreases. Therefore for the purpose of

compact modeling, an empirical linear function is used to

describe the dependence of the field enhancement factor on

the gap size:

0 0c g (2)

where 0 0,c are both fitting parameters.

4.2 Fluctuation and Variation

The typical DC and pulse measurements of RRAM

show a transient fluctuation of current during the RESET

process [12] [14]. The resultant high resistance states

normally have a prominent variation from cycle to cycle [1].

This is mainly due to 1) the stochastic property of the ion

process in the programming cycle and 2) the spatial

variation of gap size among multiple filaments. To model

this, a transient noise signal is added to the average gap

distance, which results in a random perturbation to the

resistance.

d,

d

/

gt t t

GN

gg F g X n t

t

n t T

(3)

In (3), d / dg t is the growth rate given by (1), t is the

simulation time step, F is a functional that represents the

time evolution of the gap size from t to t t . In the

MATLAB prototyping, F can be implemented by the

forward Euler’s method. In a SPICE implementation, tand F are typically decided by the simulator. X n is a

zero mean Gaussian noise sequence with a root-mean-

square of unity. GNT is the time interval after which X n

changes to the next random value. g is the amplitude of

variation.

4.3 Electronic Conduction

Although trap-assisted-tunneling was identified in our

HfOx-based RRAM cells to be a dominant conduction

mechanism, a more general case may involve multiple

conduction mechanisms which dominate in different

operation regions. Possible conduction mechanisms include

Poole-Frenkel tunneling, Fowler-Nordheim tunneling, or

direct tunneling. Since most tunneling mechanisms have an

exponential dependence on the tunneling gap size and field

strength, in the compact model the amount of current

flowing through the cell is generalized to be

0 0 0exp / sinh /I I g g V V (4)

with I0, g0 and V0 fitting parameters to match the

experimental results. (4) captures both the low-voltage

linear region and the high-voltage exponential region of the

I-V characteristics. We note that the current does not have a

first order dependence on cell area or temperature. This is

consistent with the filamentary conduction mechanism of

RRAM and has been verified by experiments [9] [10].

When needed, the area dependence can be easily included

by introducing an additional area factor.

5 RESULTS AND DISCUSSIONS

Eqs. (1)-(4) form the basic kernel of the compact model.

They provide sufficient physics as well as flexibility. To

prototype the model, MATLAB is used first to study the

DC performance. Then a complete migration to SPICE is

made to study the transient behavior of a device under

different programming conditions.

5.1 DC Sweep Analysis

To study the DC sweep response of the cell, the model

is prototyped in MATLAB. Eqs. (1) and (3) are

implemented in the standard forward Euler’s method. The

built-in random number generator of MATLAB is used to

generate the Gaussian noise sequence X n . To ensure

the stability and accuracy of the Euler’s method, the

simulation time step t must be small enough, and GNTmust be a multiple of t .

The DC sweep measurement result of the HfOx RRAM

cell is used to fit the model. Fig. 5 shows the experimental

I-V curves of the DC sweep. Figs. 2 and 3 show the

corresponding numerical simulation results and the results

of the MATLAB prototype. The DC sweep operation

typically takes time with a scale of ~10 seconds, which is

different from a transient pulse simulation. In this time

scale, the quasi-steady approximation can be assumed for

the temperature evolution inside the cell. A simplified

scheme is used to estimate the temperature:

298 thT K V I R (5)

with thR the equivalent thermal resistance of the filament

[15].

It is observed that the I-V is abrupt at the end of the SET

cycle, where the current increases sharply and is limited by

the applied compliance. This is due to the fact that the

increase in temperature accelerates the growth of the

conducting filament and reduces the resistance. This raises

the current and induces more Joule heating, forming a

positive feedback which eventually leads to a soft dielectric

breakdown in the HfOx layer. A hard breakdown is

prevented by enforcing a compliance current or including a

current limiter with the memory cell.

On the other hand, the RESET process is gradual and a

significant current fluctuation can be observed. Numerical

simulation reveals that this is due to the competition

between Vo generation and recombination, which, at the

end of the RESET process, results in a randomness in the

ruptured gap size [12]. This is the major source of cycle-to-

cycle variation of the resultant HRS of an individual

NSTI-Nanotech 2012, www.nsti.org, ISBN 978-1-4665-6275-2 Vol. 2, 2012 817

Page 4: On the Variability of HfOx RRAM: From Numerical …to describe such variability, the impact of the variation on the circuit and system performance has not yet been studied. Recently,

memory cell. The compact model reproduces this

fluctuation by introducing random fluctuations in (3).

Fig. 5 Experimental I-V characteristics of HfOx memory

for different reset stop voltages. Abrupt set and gradual

reset are observed [5] [8].

Fig. 6 Numerical simulation result of the I-V characteristics

of HfOx memory. Abrupt set and gradual reset are

reproduced [5].

Fig. 7 I-V characteristics of HfOx memory obtained by the

compact model implemented in MATLAB.

5.2 Transient Pulse Simulation Result

To perform accurate transient simulations, the model is

implemented in both MATLAB and an NGSPICE [16] sub

circuit. The transient noise source of NGSPICE is used to

generate the random fluctuation in the gap size. With a

pulse width from 50nsec to 5usec, and a RESET pulse

height from 2.4V to 3.0V, we have manually fitted the

parameters according to the pulse experimental results in

[12].

Fig. 8 shows the transient current response of the cell to

a RESET voltage pulse. It is observed that a temporal

fluctuation of current is present, similar to the experimental

results reported in [14]. The gap size during the simulation

is shown in Fig. 9, with a fluctuation introduced by the

Gaussian noise sequence, which reproduces the effect of Vo

generation and Vo recombination during the RESET

process and explains the current fluctuation during a

gradual RESET.

Fig. 8 Transient current response to a RESET pulse with a

width of 500nsec, simulated by MATLAB. Inset is the

experimental measurement of the transient current [12].

Fig. 9 Gap size as a function of time during the RESET

pulse. Random fluctuation is introduced by E q. (3).

The variation of the programmed resistance is examined

for multilevel operations. Multilevel states are achieved by

linearly increasing the reset pulse amplitude shown in Fig.

10 (experimental), Fig. 11 (numerical) and Fig. 12

(NGSPICE), or alternatively by exponentially increasing

NSTI-Nanotech 2012, www.nsti.org, ISBN 978-1-4665-6275-2 Vol. 2, 2012818

Page 5: On the Variability of HfOx RRAM: From Numerical …to describe such variability, the impact of the variation on the circuit and system performance has not yet been studied. Recently,

reset pulse width in Fig. 10 (experimental), Fig. 11

(numerical) and Fig. 12 (NGSPICE).

Fig. 10 Experimental multilevel states achieved by linearly

increasing RESET pulse amplitude [12].

Fig. 11 Simulated multilevel states achieved by linearly

increasing RESET pulse amplitude [12].

Fig. 12 Multilevel states achieved by linearly increasing

RESET pulse amplitude, generated by the compact model.

Examination of the 2D simulated Vo distribution and the

analytical gap size confirms that similar gap distances can

be achieved by these two programming schemes, thus

explaining the exponential voltage-time relationship in the

switching dynamics. The agreement between the

experiment and simulation reveals that the log-normal

spread of HRS from cycle to cycle is mainly due to the

variation of the average gap size, which is reproduced in

the compact model by a perturbation in the gap size in Eq.

(3).

Fig. 13 Experimental multilevel states achieved by

exponentially increasing RESET pulse width [12].

Fig. 14 Simulated multilevel states achieved by

exponentially increasing RESET pulse width [12].

Fig. 15 Multilevel states achieved by exponentially

increasing RESET pulse width, generated by the compact

model with random perturbations on gap length.

6 CONCLUSIONS

Improving the uniformity of RRAM is the critical step

for the technology to move towards volume manufacturing.

By comparing the numerical simulation with experimental

measurements, the major source of variation in HfOx

RRAM is found to be in the gap size, which is due to the

stochastic property of the ion dynamics. A compact model

NSTI-Nanotech 2012, www.nsti.org, ISBN 978-1-4665-6275-2 Vol. 2, 2012 819

Page 6: On the Variability of HfOx RRAM: From Numerical …to describe such variability, the impact of the variation on the circuit and system performance has not yet been studied. Recently,

is developed to capture the resistive switching behavior and

its variation. Transient simulations show that the model

reproduces the exponential voltage-time relationship, as

well as the log-normal spread of HRS in the multilevel

operation. By modifying the activation energy (Ea), the

migration barrier (Em) and adjusting other parameters

accordingly, the model is extendable to other operation

regions of interest, including the DC sweep analysis in the

order of sec, or the forming process with the voltage up to

~8V, or a high temperature retention test in the order of

hours. The model is iteration-free, thus enables fast

simulation of RRAM array circuits for design verification.

ACKNOWLEDGMENT

This work is supported in part by the member

companies of the Stanford Non-Volatile Memory

Technology Research Initiative (NMTRI), the National

Science Foundation (NSF, ECCS 0950305), the

Nanoelectronics Research Initiative (NRI) of

the Semiconductor Research Corporation through the

NSF/NRI Supplement to the NSF NSEC Center for Probing

the Nanoscale (CPN), and the C2S2 Focus Center, one of

the six research centers funded under the Focus Center

Research Program (FCRP), a Semiconductor Research

Corporation subsidiary. S. Yu is additionally supported by

the Stanford Graduate Fellowship

REFERENCES

[1] H. Y. Lee, Y. S. Chen, P. S. Shen, P. Y. Gu, Y. Y.

Hsu, S. M. Wang, W. H. Liu and C. H. Tsai,

"Evidence and solution of Over-RESET Problem for

HfOX Based Resistive Memory with Sub-ns

Switching Speed and High Endurance," in Tech.

Digest of International Electron Devices Meeting,

pp.19.7.1-19.7.4, 2010.

[2] M.-J. Lee, C. B. Lee, D. Lee, S. R. Lee, M. Chang, J.

H. Hur, Y.-B. Kim, C.-J. Kim, D. H. Seo, S. Seo, U.-

I. Chung, I.-K. Yoo and K. Kim, "A Fast, High-

Endurance and Scalable Non-Volatile Memory

Device Made from Asymmetric Ta2O5-x=TaO2-x

Bilayer Structures," Nature Materials, vol. 10, pp.

625-630, July 2011.

[3] R. Waser, R. Dittmann, G. Staikov and K. Szot,

"Redox-Based Resistive Switching Memories --

Nanoionic Mechanisms, Prospects, and Challenges,"

Advanced Materials, vol. 21, pp. 2632-2663, 2009.

[4] Z. Fang, H. Y. Yu, X. Li, N. Singh, G. Q. Lo and D.

L. Kwong, "HfOx/TiOx/HfOx/TiOx Multilayer-

Based Forming-Free RRAM Devices With Excellent

Uniformity," IEEE Electron Device Letters, vol. 32,

no. 4, pp. 566-568, April 2011.

[5] X. Guan, S. Yu and H.-S. P. Wong, "On the

Switching Parameter Variation of Metal-Oxide

RRAM—Part I: Physical Modeling and Simulation

Methodology," IEEE Transactions on Electron

Devices, vol. 59, no. 4, pp. 1172-1182, April 2012.

[6] S. Yu and H.-S. P. Wong, "Compact Modeling of

Conducting Bridge Random Access Memory

(CBRAM)," IEEE Transactions on Electron Devices,

Vols. 2729-2737, no. 8, p. 58, 2011.

[7] P. Sheridan, K.-H. Kim, S. Gaba, T. Chang, L. Chen

and W. Lu, "Device and SPICE Modeling of RRAM

Devices," Nanoscale, vol. 3, pp. 3833-3840, 2011.

[8] S. Yu, X. Guan and H.-S. P. Wong, "On the

Stochastic Nature of Resistive Switching in Metal

Oxide RRAM: Physical Modeling, Monte Carlo

Simulation, and Experimental Characterization," in

Tech. Digest of International Electron Devices

Meeting, pp.17.3.1-17.3.4, Washington D.C., USA,

2011.

[9] N. Xu, B. Gao, L. Liu, B. Sun, X. Liu, R. Han, J.

Kang and B. Yu, "A Unified Physical Model of

Switching Behavior in Oxide-Based RRAM," in VLSI

Technology, 2008.

[10] S. Yu, X. Guan and H.-S. P. W. Wong, "Conduction

Mechanism of TiN/HfOx/Pt Resistive Switching

Memory: A Trap-Assisted-Tunneling Model,"

Applied Physics Letters, vol. 99, p. 063507, 2011.

[11] S. Yu and H.-S. P. Wong, "A Phenomenological

Model for the Reset Mechanism of Metal Oxide

RRAM," IEEE Electron Device Letters, vol. 31, no.

12, pp. 1455-1457, Dec. 2010.

[12] S. Yu, X. Guan and H.-S. P. Wong, "On the

Switching Parameter Variation of Metal Oxide

RRAM—Part II: Model Corroboration and Device

Design Strategy," IEEE Transactions on Electron

Devices, vol. 59, no. 4, pp. 1183-1188, 2012.

[13] J. Mcpherson, J.-Y. Kim, A. Shanware and H. Mogul,

"Thermochemical Description of Dielectric

Breakdown in High Dielectric Constant Materials,"

Applied Physics Letters, vol. 82, p. 2121, 2003.

[14] S. Yu, Y. Wu, R. Jeyasingh, D. Kuzum and H.-S. P.

Wong, "An Electronic Synapse Device Based on

Metal Oxide Resistive Switching Memory for

Neuromorphic Computation," IEEE Transactions on

Electron Devices, vol. 58, no. 8, pp. 2729-2737, 2011.

[15] U. Russo, D. Ielmini, C. Cagli and A. Lacaita, "Self-

Accelerated Thermal Dissolution Model for Reset

Programming in Unipolar Resistive-Switching

Memory (RRAM) Devices," IEEE Transactions on

Electron Devices, vol. 56, no. 2, pp. 193-200, 2009.

[16] "NGSPICE," [Online]. Available:

http://ngspice.sourceforge.net/index.html.

NSTI-Nanotech 2012, www.nsti.org, ISBN 978-1-4665-6275-2 Vol. 2, 2012820