24
Patrick J. Ho [email protected] (214) 647-3509 Richard A. Kandel [email protected] (212) 271-3752 Stifel Nicolaus Equity Trading Desk US: (800) 424-8870 Canada: (866) 752-4446 Initiation of Coverage Initiating with a Buy Rating and $11 Target Price for OCD Metrology Leader We are initiating coverage of Nova Measuring Instruments with a Buy rating and a target price of $11, which reflects 3.0x book value, or near the highs of recent cycles. The company is a leading player in the metrology market with a focus on optical critical dimension (OCD) metrology. We believe the company has positioned itself very favorably in the emerging optical critical dimension (OCD) metrology market, while transforming itself from being primarily an integrated metrology solutions provider to a broader supplier of both integrated and stand-alone solutions. In our view, the company is well positioned to benefit from continued shrinks in the semiconductor industry and new manufacturing process changes. We believe these trends will increase the percentage of process control spend (rising from 12% to 15%-17% of wafer fab equipment-WFE) over the next several cycles. Moreover, we believe that Nova is extremely well positioned in the emerging optical critical dimension (OCD) metrology marketplace, which we expect to outgrow both process control and overall WFE. In our view, the continued shrinks (at each technology node) and new manufacturing challenges are key drivers for the strong adoption of OCD metrology in the manufacturing process. Near term, we also believe that Nova is well positioned to outperform its peers and competitors given the robust spending by the foundries, a customer segment where Nova has strong exposure (and an area where it has gained share over the past few years). We expect strong foundry spending in 2H12, as well as in 2013. Finally, we believe the company’s strong operating model and balance sheet provide the company with the earnings leverage to grow as revenues increase, and the flexibility to continue to invest in next generation products to expand into new market segments (like TSV). We believe the company has performed better than its peers in terms of recent financial results. In total, we believe Nova is well positioned to outperform both near term and long term. With the recent pullback in the markets, we believe that current stock prices represent an attractive entry point into the name (based on several valuation metrics) and we would recommend purchasing shares at this time. Changes Previous Current Rating Buy Target Price $11.00 FY12E EPS (Net) $0.70 FY13E EPS (Net) $0.80 FY12E Rev (Net) $100.5 FY13E Rev (Net) $110.0 Price (06/15/12): $8.23 52-Week Range: $11 – $5 Market Cap.(mm): 224.1 Shr.O/S-Diluted (mm): 27.2 Enterprise Val. (mm): $137.5 Avg Daily Vol (3 Mo): 106,230 LT Debt/Total Cap.: 0.0% Net Cash/Share: $3.18 Book Value/Share: $3.80 Cash/Share: $3.18 Dividend($ / %) $0.00 / 0.0% S&P Index 1,342.84 EPS (Net) 2011A 2012E 2013E Q1 $0.31 $0.15A $NE Q2 0.35 0.17 NE Q3 0.23 0.15 NE Q4 0.11 0.22 NE FY Dec $1.00A $0.70 $0.80 P/E 8.2x 11.8x 10.3x Results and estimates exclude stock options expensing; for results and estimates including stock options, see attached model. Rev (Net) 2011A 2012E 2013E FY Dec $102.8A $100.5 $110.0 EV/Revenue 1.3x 1.4x 1.3x June 18, 2012 Nova Measuring Instruments Ltd. NVMI – NASDAQ Buy Semiconductor Capital Equipment Stifel Nicolaus does and seeks to do business with companies covered in its research reports. As a result, investors should be aware that the firm may have a conflict of interest that could affect the objectivity of this report. Investors should consider this report as only a single factor in making their investment decision. All relevant disclosures and certifications appear on pages 23 - 24 of this report.

Nova Measuring Instruments Ltd. NVMI – NASDAQ Buy

  • Upload
    others

  • View
    2

  • Download
    0

Embed Size (px)

Citation preview

Patrick J. Ho [email protected] (214) 647-3509

Richard A. Kandel [email protected] (212) 271-3752

Stifel Nicolaus Equity Trading Desk US: (800) 424-8870 Canada: (866) 752-4446

Initiation of Coverage

Initiating with a Buy Rating and $11 Target Price for OCD Metrology Leader

We are initiating coverage of Nova Measuring Instruments with a Buy rating and a target price of $11, which reflects 3.0xbook value, or near the highs of recent cycles. The company is a leading player in the metrology market with a focus onoptical critical dimension (OCD) metrology.

We believe the company has positioned itself very favorably in the emerging

optical critical dimension (OCD) metrology market, while transforming itself from

being primarily an integrated metrology solutions provider to a broader supplier

of both integrated and stand-alone solutions.

In our view, the company is well positioned to benefit from continued shrinks in

the semiconductor industry and new manufacturing process changes. We

believe these trends will increase the percentage of process control spend

(rising from 12% to 15%-17% of wafer fab equipment-WFE) over the next

several cycles.

Moreover, we believe that Nova is extremely well positioned in the emerging

optical critical dimension (OCD) metrology marketplace, which we expect to

outgrow both process control and overall WFE. In our view, the continued

shrinks (at each technology node) and new manufacturing challenges are key

drivers for the strong adoption of OCD metrology in the manufacturing process.

Near term, we also believe that Nova is well positioned to outperform its peers

and competitors given the robust spending by the foundries, a customer

segment where Nova has strong exposure (and an area where it has gained

share over the past few years). We expect strong foundry spending in 2H12, as

well as in 2013.

Finally, we believe the company’s strong operating model and balance sheet

provide the company with the earnings leverage to grow as revenues increase,

and the flexibility to continue to invest in next generation products to expand into

new market segments (like TSV). We believe the company has performed better

than its peers in terms of recent financial results.

In total, we believe Nova is well positioned to outperform both near term and

long term. With the recent pullback in the markets, we believe that current stock

prices represent an attractive entry point into the name (based on several

valuation metrics) and we would recommend purchasing shares at this time.

Changes Previous Current

Rating Buy

Target Price $11.00

FY12E EPS (Net) — $0.70

FY13E EPS (Net) — $0.80

FY12E Rev (Net) — $100.5

FY13E Rev (Net) — $110.0

Price (06/15/12): $8.23

52-Week Range: $11 – $5

Market Cap.(mm): 224.1

Shr.O/S-Diluted (mm): 27.2

Enterprise Val. (mm): $137.5

Avg Daily Vol (3 Mo): 106,230

LT Debt/Total Cap.: 0.0%

Net Cash/Share: $3.18

Book Value/Share: $3.80

Cash/Share: $3.18

Dividend($ / %) $0.00 / 0.0%

S&P Index 1,342.84

EPS (Net) 2011A 2012E 2013E

Q1 $0.31 $0.15A $NE

Q2 0.35 0.17 NE

Q3 0.23 0.15 NE

Q4 0.11 0.22 NE

FY Dec $1.00A $0.70 $0.80

P/E 8.2x 11.8x 10.3x

Results and estimates exclude stock optionsexpensing; for results and estimatesincluding stock options, see attached model.

Rev (Net) 2011A 2012E 2013E

FY Dec $102.8A $100.5 $110.0

EV/Revenue 1.3x 1.4x 1.3x

June 18, 2012

Nova Measuring Instruments Ltd.

NVMI – NASDAQ

BuySemiconductor Capital Equipment

Stifel Nicolaus does and seeks to do business with companies covered in its research reports. As a result, investorsshould be aware that the firm may have a conflict of interest that could affect the objectivity of this report. Investorsshould consider this report as only a single factor in making their investment decision.

All relevant disclosures and certifications appear on pages 23 - 24 of this report.

Summary—Initiating Coverage with a Buy Rating and $11 Target

Price

We are initiating coverage of Nova Measuring Instruments with a Buy rating and

a target price of $11, which reflects 3.0x book value, or near the highs of recent

cycles. We believe the company, a leading player in the metrology market, has

positioned itself very favorably in the emerging optical critical dimension (OCD)

metrology market, while transforming itself from being primarily an integrated

metrology solutions provider to a broader supplier of both integrated and stand-

alone solutions. In our view, the company is well positioned to benefit from

continued shrinks in the semiconductor industry and new manufacturing process

changes. We believe the challenges of semiconductor manufacturing will

increase the percentage of process control spend (rising from 12% to 15-17% of

WFE) over the next several cycles. Moreover, we believe that Nova is extremely

well positioned in the emerging optical critical dimension (OCD) metrology

marketplace, which we expect to outgrow both process control and overall WFE.

In our view, the continued shrinks (at each technology node) and new

manufacturing challenges are key drivers for the strong adoption of OCD

metrology in the manufacturing process. Near term, we also believe that Nova is

well positioned to outperform its peers and competitors given the robust spending

by the foundries, a customer segment where Nova has strong exposure (and an

area where it has gained share over the past few years). We expect foundry

spending to continue into 2H12 and likely remain healthy in 2013 as well. Finally,

we believe the company’s strong operating model and balance sheet provide the

company with the earnings leverage to grow as revenues increase, and the

flexibility to continue to invest in next generation products to expand into new

market segments (like TSV). We believe the company has performed better than

its peers in terms of recent financial results and its long term operating model

also is realistic and very achievable. In total, we believe Nova is well positioned

to outperform the rest of 2012 and in the near term. Longer term, we remain

favorably biased on the opportunities ahead for the company as OCD metrology

should continue to well outpace the overall industry. With the recent pullback in

the markets, we believe that current stock prices represent an attractive entry

point into the name (based on several valuation metrics) and we would

recommend purchasing shares at this time.

Current Industry Environment and Outlook

We continue to maintain our favorable 2012 and 2H12 capex thesis, although we

admit that the recent capital market weakness has heightened a level of concern

in the industry. While no chipmaker has made any changes to current capex

plans (see our note, Semi Cap Update: Sentiment Edgy but No Major Changes

to Capex Plans, Maintaining Our 2H12 Thesis, May 21), we also believe that they

are monitoring the situation closely to see if there are any changes in current

consumer (and corporate) spending trends.

At this time, we are maintaining our 2012 capex outlook of flat to down 5%

year over year, with the likelihood of ending the year closer to flat when all is

said and done. We also are holding onto our thesis that 2H12 spending trends

will not “roll off” as others are suggesting, and if anything, we believe that 2H12

will be flattish and perhaps even slightly up versus 1H12. While foundry

spending has gotten much of the attention year-to-date, we believe that this

segment is likely to continue to surprise to the upside for the remainder of this

Page 2

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

year, while other segments, like DRAM and Intel, are likely to be up in 2H12

versus 1H12. While we initially thought NAND flash would be up in 2H12 versus

1H12, we acknowledge that this segment now presents the greatest risk of

downside versus our initial expectations.

As far as 2013, our initial take is a flat to up year (see our note, Semi Cap

Update: First Look at 2013 Capex: Forecasting Another Robust Capex Year of

Flat to Up 5%, June 14), although we could see some pause by the foundries in

1H13 offset by strength in DRAM and Intel. We believe the recent rush of orders

by the foundries will likely lead to some “capacity digestion” period. We expect

foundry spending to be robust once again in 2013 as the leading players not only

add 28nm capacity, but also the next generation 20nm-22nm tool sets. We

continue to maintain our favorable DRAM capex stance that we expect will begin

in 2H12 and carry into 2013. We believe a PC refresh cycle and the ramp of

ultrabooks will be the key drivers for DRAM capex spending. We also believe

Intel will increase its equipment spending in 2013 relative to 2012, as Intel has

already suggested 2013’s capex will likely be flattish with 2012 (see our note,

Semi Cap Update: Intel Likely to Maintain Robust Capex Spending in 2013, May

11). We believe there will be a higher percentage of equipment spending in the

total capex (relative to 2012 where there was a significant amount of

infrastructure or building costs), which would then suggest equipment spending

will be up in 2013. We believe the key variable for 2013 will be NAND flash

capex, which has seen some negative sentiment recently and is uncertain near

term and into 2013.

In terms of the stocks, the group decreased sharply in the month of May along

with (and in many cases, at a higher rate of decline) the overall market pullback.

However, this pullback followed a strong rise in the group over the first few

months in the year (approximately 14%-15%+ rise through April). We believe

that the group’s pullback can be partly attributable to the overall market pullback

but also due to increasing concerns over 2H12 trends, particularly a sharper-

than-expected roll off by the foundry segment. We believe that if confidence

returns and 2H12 trends track better than some of these negative perceptions,

the stocks can once again rally and return to previous highs (and most likely

exceed them as well).

Investment Rationale

Increased Need for Process Control at Leading Edge Nodes and New Process

Technologies

We believe that continued shrinks and new manufacturing challenges (i.e., new

materials, new device structures like FinFET transistors and 3D devices) are

increasing the percentage of equipment spend towards process control. Process

control is critical to the manufacturing process in increasing yields and improving

time-to-ramp for new devices. We believe this has been most evident as it

relates to the foundries, which have had significant problems in terms of yields at

the leading edge. In our view, the dollars for equipment are being shifted to

select process segments, like process control, versus others, and we expect this

percentage to grow going forward (regardless of the total WFE and capex

figures). Our research suggests that in 2010, process control comprised 12% of

total WFE and increased to 14% in 2011 (on a 7% increase in total WFE). On a

relatively flattish 2012 WFE outlook, we expect process control to hold steady at

14% of spending. Going forward, we believe the process control will eventually

Page 3

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

comprise 15%-17% of the total equipment spend (which is in line with KLA-

Tencor’s publicly stated forecasts). To highlight, Nova’s strong position at the

leading edge, approximately 70% of its revenues in 2011 were at the 32nm and

below nodes.

Well Positioned in the High Growth OCD Metrology Market

We believe Nova is well positioned to benefit from the increasing need for OCD

metrology in the manufacturing process. We believe OCD metrology will

significantly outpace not only the overall wafer fab equipment (WFE) market, but

also the process control segment (where OCD is classified). Assuming a

relatively healthy 2014 capex year (i.e., flat-to-up year), we believe the WFE

CAGR from 2010 to 2014 will be 3% (or less if the industry is in a down year).

For process control, we estimate the CAGR could be as high as 10%. For the

OCD metrology market, we estimate it could be as high as 20% during this time

period, which highlights the significant growth we are likely to see driven by the

many process techniques’ changes (i.e., new materials like high-k metal gate, 3D

vertical structures, FinFET) in the industry.

Expansion of OCD Metrology into Additional Process Steps

We believe with the increasing adoption of OCD metrology in the industry, there

is also an expansion of process steps where OCD metrology plays. Traditionally,

Nova had a good presence primarily in the chemical mechanical planarization

(CMP) and etchsteps. However, through industry adoption and share gains, the

company has been able to expand into other segments, like deposition (CVD,

PVD, ECD) and lithography. By expanding into these additional markets, the

market opportunity has also tripled per fab. In fact, we believe the combination of

expanding into new process steps and the node shrinks in the industry, the total

available market (TAM) opportunity has increased from $30 million to $40 million

in a fab (100,000 wafer starts per month) at the 65nm node to approximately $80

million to $100 million at the 32nm-28nm node.

Strong Position in the Foundry Customer Segment

We believe the recent strength in equipment capital spending has been led by

the foundries. In the near term, we believe this concentration works in Nova’s

favor relative to its peers, given the company’s very strong exposure to all of the

leading foundry players, including TSMC, GlobalFoundries, and UMC. We also

believe the company has a presence with Samsung’s logic, although it is much

smaller when compared to its exposure with the other leading foundries. The

company also possesses a strong relationship with IBM, which extends to its

relationships with GlobalFoundries, Samsung (logic) and UMC (given that both

employ IBM’s process technology). In the near term, we believe this exposure

works to Nova’s benefit and should allow it to outperform its process control

peers (given that this robust spending is likely to persist over the next few

quarters).

Delays in EUV Likely to Benefit Metrology in the Near Term

We believe the continued delays in EUV will likely benefit the metrology market in

the near term as it increases the number of process steps (and thus, tools

needed) related to double and multiple patterning techniques. With additional

tools needed for etch, deposition, and CMP (and even lithography as additional

immersion-based tools are needed), this in turn, increases the need for both

Page 4

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

integrated and stand-alone metrology tools needed in a fab. In our view, any

additional delays in EUV will benefit the steps needed for double/multiple

patterning and this will have a positive trickle-down effect for Nova.

Strong Operating Model

We believe Nova’s operating model compares very favorably with many of its

process control peers and, in recent quarters, the company has outperformed

many of them. In Appendix 1 and Appendix 2 at the end of this note, we

highlight both Nova’s recent financial performance relative to its peers

(particularly versus its small cap peers, Nanometrics, and Rudolph Technologies)

and its targeted long-term model. As the March quarter results highlight, Nova

delivered very strong gross margins and healthy operating margins on what we

would characterize as mid-cycle revenue levels (i.e., not “peak”). In both gross

and operating margins, Nova compares more favorably versus Nanometrics and

Rudolph, and we expect these positive trends to continue this cycle. Longer

term, we also believe Nova’s long-term targets are realistic and achievable. We

also believe there is additional leverage, particularly on the opex front, that could

drive long-term operating margins higher than its current stated goal (20%-25%).

Attractive Acquisition Candidate

We believe that Nova’s position in the emerging OCD metrology market, its share

gains and strong customer relationships, and its highly leveraged operating

model, make the company a very attractive acquisition candidate for a larger

company seeking a high growth company that can be quickly accretive to the

business model. We also believe the company possesses a very strong

technology and IP base that continues to extend into new process segments and

potentially into adjacent markets in the future.

Investment Risks

Highly Cyclical Industry

As with all semiconductor capital equipment companies, Nova’s business trends

are at the mercy of the volatile semiconductor cycles. Although we believe the

company can outperform on both ends of the cycle (grow faster in an upturn,

decline less in a downturn), the company will generally move in line with overall

capital spending trends.

Scale Relative to Competitors

We believe the company has made significant gains in recent years, transforming

the company from a niche, integrated metrology supplier to a broader solutions

provider (both integrated and stand alone). In turn, the company has also

generated strong earnings results. In our view, this development is particularly

noteworthy given the company’s scale relative to all of its key competitors. We

believe that all of its competitors (KLA-Tencor, Rudolph Technologies, and

Nanometrics) possess greater resources than Nova, which should provide them

with a competitive advantage. However, as we have already noted, Nova has

made these gains despite its smaller scale relative to its peers. At the same

time, we believe this aspect presents a potential risk given some of the

investments needed for next-generation technologies and the eventual industry

shift to 450mm wafers.

Page 5

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Lack of Presence with Intel

While the company is well exposed to both the memory (primarily through its

integrated metrology business) and foundry (integrated, stand alone) customer

segments, the company has virtually no presence with Intel (which is split

primarily between KLA-Tencor and Nanometrics). Given that Intel is and will

likely remain one of the top spenders going forward, we believe that this lack of

presence does limit some of the opportunities that Nova can capitalize upon.

Competitive Environment in the OCD Metrology Market

While we believe Nova is well positioned in the OCD metrology marketplace, we

also believe the competitive environment is quite intense versus KLA-Tencor and

Nanometrics. We believe that both Nova (TSMC, IBM) and Nanometrics (Intel)

have gained share at the expense of KLA-Tencor, but KLA still maintains a

healthy market share (30%-35%) in the OCD metrology market. While we do not

believe any dramatic share shifts are likely forthcoming near term (12 months),

we believe any missteps could create opportunities for any three of these

players.

Near-term Increase in R&D to Reduce Operating Leverage

Management has publicly stated for some time that it expects to increase its R&D

and engineering costs over the near term as it focuses on both next-generation

nodes and 450mm wafer tool development. While we believe these investments

are necessary for the future standing of the company, we also believe this step

up will reduce some of the strong operating leverage the company has

experienced over the past year. In particular, we will be focused on the

investments in 450mm wafers as, admittedly, we are less bullish on the timing of

the adoption of this next wafer size.

Business Operations and Incorporation Located in Israel

Nova’s headquarters and sole manufacturing facility (Ness-Ziona) are located in

Israel and the company is incorporated under Israeli law. Given the political and

potential armed conflict situation in the region, we do believe this presents a

significant risk for the company. At the same time, we should note that several

other key semiconductor-based companies have significant operations in Israel,

including Applied Materials (process control), KLA-Tencor, and Intel (2 fabs in

Qiryat). While the company’s locale represents a risk, we also believe Israel is a

region where there is a significant amount of semiconductor engineering and

technology talent (and in our view, specifically for process control where we

believe there is a very heavy concentration of IP and talent in the region).

Valuation

We believe the recent market pullback has helped reset both the stock prices

and valuations for many names in the group. In our view, the group sentiment

has turned negative, as there has been a growing concern that overall

semiconductor demand and new wafer starts would be cut back in response to

the overall market pullback. With that in mind, we believe investors have reset

expectations and estimates (for both 2012 and 2013).

Page 6

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

At the same time, we believe that this pullback represents an attractive

opportunity as the fundamentals have not dramatically changed, and our bullish

take for 2H12 remains intact. Moreover, we believe that there is still potential

upside to estimates that is not factored into current stock prices.

With that in mind, we believe that the stock looks attractive on several fronts,

including book value and P/E (both 2012 and 2013). On the book value side,

Nova’s stock traded as high as above 3.0x in the last upcycle (2011), and given

the company’s strong financial performance in recent quarters (and our

projections going forward), should the industry continue on track towards our

more favorable industry stance, we would not be surprised to see the stock reach

these levels (3.0x book value or higher) once again. On the P/E end, the stock is

trading below not only its direct peers (process control), but also below most

other small- and large-cap names. We believe there is potential for upside to

current 2012 estimates (as we have a more bullish outlook for 2H12 versus

consensus) and the stock is trading at attractive levels based on 2013 EPS

estimates.

We believe that several factors support a higher premium for Nova versus other

equipment peers. First, the company is well positioned in a high growing market

(OCD metrology) and has gained share over the past few years. Secondly, the

company has performed well on the financial side, and we believe additional

leverage can be delivered in the future as revenues grow and near-term

investments level off. Thirdly, we believe the company is favorably exposed near

term to strong spending by the foundry customer base, where Nova is better

concentrated than most of its peers. We expect this facet to particularly benefit

Nova in 2012 and into 2013.

At the end of this note, we provide valuation comparisons in Appendix 3(a), 3(b),

and 3(c).

Process Control — Increasing as a Percentage of Overall WFE

Process control entails the monitoring of the equipment performance or the

actual process sequences in the manufacturing itself, as well as the ability to

identify defects or problems that occur on the wafer during the manufacturing

process (or in some cases, on the photomask). Given the preciseness and

accuracy needed in the manufacturing of a semiconductor device (particularly

given the small linewidths of today’s leading edge devices), process control is

becoming an even more critical aspect of the overall manufacturing process.

Additionally, new materials (i.e., high-k metal gates, copper) and new process

techniques (i.e., FinFET transistor structures, 3D devices in memory, double and

multiple patterning techniques) are further intensifying the manufacturing

challenges for chipmakers. Increasing yields and improving time-to-ramp of new

devices are becoming more critical and in some customer segments (notably,

foundries), these facets are becoming key competitive differentiators. With the

focus on yields and time-to-ramp, process control’s importance in a production

fab continues to rise.

We believe that these aspects are driving an increasing shift of dollars for

equipment spending to areas, like process control versus other segments. In the

past two years (2010-2011) where we have seen capex spending rise overall, we

have also seen a higher percentage of dollars going to process control. We

estimate that in 2010, process control comprised 12% of total WFE, while in

Page 7

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

2011, process control comprised 14% of total WFE. We should highlight that

overall WFE spending increased 7% in 2011 from 2010. Our current WFE

forecast for 2012 suggests flat to down slightly, but even with this forecast, we

expect process control to hold steady at 14% of WFE.

Going forward, we believe this ratio will continue to rise regardless of the

absolute moves of WFE and capex spending going forward. We project that in

2015 and beyond, process control could comprise as much as 15%-17% of total

WFE, which would be in line with projections made by KLA-Tencor’s CEO, Rick

Wallace, at last year’s Semicon West conference.

We believe Nova Measuring Instruments’ participation in the metrology market

(and more specifically, OCD metrology, which we will highlight in more detail

shortly) positions the company very favorably to outgrow the overall WFE

marketplace. As the spending dollars go to markets like process control, this

should have a clear benefit for Nova.

Metrology — OCD Metrology Should Outgrow Process Control

and Overall WFE

Metrology systems perform various measurement and analysis of physical,

material, and performance characteristics of a semiconductor device.

Additionally, metrology systems can also monitor the actual processes

themselves in a high volume manufacturing setting. One of the key aspects of

metrology systems in a production line is its ability to provide real-time feedback

on any irregularities found in the manufacturing process. This aspect allows

chipmakers to “fix” or recalibrate the tools in question to minimize any downtime

during the manufacturing process. Metrology allows chipmakers to maximize

yields and ensure the proper control and performance of its respective

manufacturing processes. In our view, one of the fastest growing segments

within metrology is optical critical dimension (OCD).

Optical Critical Dimension (OCD) Metrology

At each new technology node, lithography is becoming more challenging and the

need to ensure the integrity of the patterned wafers and critical dimensions (CD)

becomes more important. Ensuring the integrity of CD and avoiding any

discrepancies in them are critical to a chipmaker’s yields and ability to ramp new

devices. Traditionally, the measurement and analysis of CDs (on the metrology

side) have been done by CD-Scanning Electron Microscopes (CD-SEMs),

Atomic Force Microscopes (AFMs), and transmission electron microscopes

(TEMs). On a production basis, CD-SEMs provide the highest throughput and

are the most prevalent on fab production lines. However, with new process

technologies and device structures (3D gates, vertical structures, new materials),

optical techniques are now emerging to become the standard measurement

process at advanced technology nodes.

OCD metrology’s adoption rate continues to gain traction as the needs for

improved process monitoring grow with new materials (i.e., high-k metal gate),

new lithography processes (i.e., double and quad patterning), and new device

structures (i.e., FinFET and 3D transistor) emerge. The emergence of many of

these new process technologies requires not only more accurate measurement

and analysis, but a technique that does not slow the overall manufacturing

process. In addition, OCD metrology is not only gaining traction on its own merits

(and need) to meet these changing requirements, but OCD metrology is also

Page 8

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

displacing current CD-SEM process techniques that may be no longer applicable

at these smaller technology nodes. While CD-SEMs have been the process of

choice for these measurements at previous nodes, the challenges at 3x nm and

below are best served by OCD metrology. Some of the key advantages of OCD

metrology versus CD-SEM are its ability to deliver 3D measurement and analysis

(versus a CD-SEM which delivers it in a 2D structure), its non-destructive and

non-invasive (non-touch) nature and its capability to provide the best precision

and throughput for a chipmaker in a high volume manufacturing environment.

With this as background, we believe OCD is among the fastest growing

segments in the entire capital equipment industry. To highlight the growth

opportunity, we are projecting the near-term CAGR (2010-2012), as well as the

longer-term CAGR of (1) the semi cap industry, (2) process control, and (3) OCD.

Exhibit 1: 2010-2012 (CAGR)

Wafer Fab Equipment (WFE): 0%

Process Control: 6%

OCD: 15% ($205 million marketplace in 2010 to $270 million in 2012)

Source: Gartner Dataquest and Stifel Nicolaus estimates

Exhibit 2: 2010-2014 (CAGR)

Wafer Fab Equipment (WFE): 3%

Process Control: 10%

OCD: 20% ($202 million to approximately $400 million)

Source: Gartner Dataquest and Stifel Nicolaus estimates

Products

The company’s products can be primarily broken down into integrated metrology

and stand-alone metrology solutions. Integrated systems are those that are built

into or connected directly to a process tool (like an etcher or CMP system) where

the measurement techniques are done without the need to remove the silicon

wafer from the process tool. There are advantages of an integrated tool where

efficiencies are gained given that the measurement and monitoring capabilities

are done within the tool versus the need to take out the wafers measure in a

separate metrology system, and then bring them back into the process tool. On

the integrated front, Nova Measuring Instruments has a significant market share

advantage of approximately 70%-75% of the entire integrated metrology

marketplace.

Stand-alone systems are those that are not directly part of another process tool

and where silicon wafers must leave the process tool and have the

measurements done on a separate and individual system. These stand-alone

tools stand “next” to the process tool and the measurements are done separately

from the process tool (while in an integrated system, the wafer does not leave the

process tool).

We believe the offerings provided by Nova Measuring Instruments allow

customers to customize and specify to their respective manufacturing needs.

The company introduced a stand-alone product offering in 2005 and since that

time, has gained share and become a leading player in the OCD metrology

Page 9

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

marketplace (along with Nanometrics and KLA-Tencor). In general, stand-alone

tools tend to be approximately three or four times higher in ASPs, while margins

are quite comparable between the two offerings.

Among the company’s key product offerings are the following.

Nova T500/T600: The T500 and T600 models are the company’s

flagship stand-alone OCD metrology offerings. The T500 targets the

32nm node and below, while the T600 targets the 2x nm node and

below. The T600 provides advanced multi-channel reflectometry, which

provides increased sensitivity for smaller device features (at 2x nm and

below).

Nova i500: The i500 is the company’s latest generation integrated OCD

metrology solution targeting CMP and etch and for the 22nm and below

technology nodes.

NovaScan 3090NEXT: The NovaScan 3090NEXT comes in both stand-

alone and integrated offerings and targets the CMP, etch, CVD, and

lithography process steps. A key differentiator of the 3090NEXT is its

capability to do both 2D and 3D modeling functions.

NovaMars: This is the company’s software offering that provides

advanced scatterometry modeling and application development for

complex 2D, 3D, and in-die measurements. This software is offered

along with the aforementioned hardware solutions.

Markets

Nova participates in three primary metrology markets, 1) critical dimension

(which includes OCD metrology, the largest and fastest growing segment), 2) thin

film metrology, and 3) copper damascene metrology. We believe that the

addressable metrology market is approximately $900 million (in 2012) and should

grow to over $1 billion over the next few years (driven primarily by OCD).

The company’s thin film and copper metrology offerings are based on broadband

spectrophotometry, which utilizes deep ultraviolet to near infrared light. This

technology allows for a fast and accurate measurement of thin film thickness (or

in the case of copper, the thickness of the copper-based layer). The OCD

metrology technology is based on its scatterometry technology, which also

utilizes fully polarized deep ultraviolet or near infrared light (similar to broadband

spectrophotometry). The scatterometry technology provides both 2D and 3D

characterization of the device layers and the linewidths (which are becoming

increasingly difficult to measure at these small technology nodes). A key

component of this technology is the software and the modeling capabilities

behind it.

Nova’s thin-film offerings (both traditional thin film metrology and copper

damascene metrology) focus on the chemical mechanical planarization (CMP),

etch, and chemical vapor deposition (CVD) processes, while its OCD metrology

capabilities focus on CMP, etch, CVD, and lithography in both integrated and

stand-alone capabilities.

Page 10

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Customers

Given the company’s shift towards more of a stand-alone metrology supplier,

Nova has seen its customer base shift from OEM equipment vendors like Applied

Materials, Lam Research, and Ebara to the chipmakers themselves (as the

company is also now selling the integrated solution directly to the chipmaker).

We believe the company has a strong presence in both the foundry and memory

customer segments. In particular, we believe the company is very well exposed

to the foundry segment for its stand-alone business. Among its leading foundry

customers are TSMC, GlobalFoundries, UMC, and IBM. We believe the

company has some business with Samsung’s foundry lines, although greater

opportunities likely lie in future nodes.

In terms of memory customers, we believe the company’s strongest relationship

is with Micron and IM Flash Technologies. The company also has stand-alone

relationships with the likes of Elpida and Nanya and on the integrated side with

the likes of Hynix, Rexchip, and Inotera.

At this time, the company does not have Intel in its customer base, although we

suspect that there could be an opportunity at 14nm, where evaluations are set to

begin later this year for that next generation node. At the same time, we believe

Intel is likely to remain status quo in terms of its key inspection and metrology

suppliers, although if the company does make changes it will likely be among

other process steps.

Competition

The company’s primary competition comes from two companies. On the

integrated metrology front, its main competitor is Nanometrics, which also offers

a competing integrated metrology solution (IMPULSE). On the stand-alone end,

the company’s primary competitors are KLA-Tencor and Nanometrics. We

believe that each of three leading OCD metrology suppliers (Nova, Nanometrics,

KLA-Tencor) have strong positions with select customers, and thus the market

share percentage could vary year-to-year based on the respective customer

spend.

On the thin film and copper measurement metrology markets, we believe the

company also comes across the likes of Hitachi, Applied Materials (both of

whom offer CD-SEM offerings), and Rudolph Technologies (on the copper

measurement front).

Business Model

Over the past five-plus years, we believe the evolution of the company’s offerings

have helped drive significant improvements to Nova’s business model. As

Appendix 1 and Appendix 2 highlight, the company has delivered a strong

margin profile in the current cycle, particularly as it relates to its peers (most

notably, on the small cap side, Nanometrics and Rudolph Technologies).

Moreover, we believe the company’s long-term model targets of 1) 55% gross

margins and 2) operating margins of 20%-25% are realistic and achievable

(particularly as revenues ramp above the $30 million to $35 million quarterly

range). We believe there is some potential upside on the operating margin line,

Page 11

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

particularly if opex levels out somewhat following some heavy investments near

term related to next generation products and 450mm development.

While the company has set a long-term target of 55% gross margins, we also

believe that in “peak” periods, there is the possibility that gross margins could

exceed that level (as it has already done in recent quarters). In terms of the

breakdown in gross margins, we estimate that product gross margins are in the

range of 57%-62%, while services gross margins are in the 28%-32% range. We

believe that the company continues to add more “value-added” features (which

include higher software content in its current product portfolio), and we believe

that margins can trend towards the high end of their respective ranges. We also

believe that services margins can trend toward the high end of its range as well,

given that the company can leverage its existing sales force and services people

for both its integrated and stand-alone offerings (given the commonality of parts

and capabilities).

We believe that to get to some of these long-term model targets, quarterly

revenues will need to range in the high $30 million to $40+ million range (which

we believe can be achieved over the next three-plus years), or approximately

$160 million on an annual basis. Based on this projection, we would not be

surprised to see pro forma EPS anywhere in the range of $1.20 to $1.50 on an

annual basis (with some of the moving parts being interest income, tax rate,

share count, etc.).

Balance Sheet/Cash Flow

Since the current management team took over in 2005, the company has also

made strides in bolstering its balance sheet and improving cash flow generation.

As of the end of the March 2012 quarter, the company possessed $86 million in

cash and investments with no debt. The company completed an equity offering

in early 2010 raising approximately $15 million (net of fees). In terms of cash

flow generation, the company has also made significant improvements during

this time period. In just the past two years (2010, 2011), the company has

generated $25.8 million and $25.1 million in cash flow from operations,

respectively. With our projected revenue forecasts for 2012 and 2013, we expect

the company to once again be cash flow positive (although free cash flow may be

somewhat lower than in previous years as the company ramps certain

investments near term).

Management

We believe the current management team has been the instrumental driving

force in transforming the company from a niche, integrated thin film metrology

supplier to one that provides both integrated and stand-alone solutions (which

were introduced in 2005). We also believe this team has favorably positioned the

company in the fast growing OCD metrology space, which has helped generate

share wins over the past few cycles (most notably with the foundry customer

segment). In turn, this team has been able to strengthen its business model that

compares as well, and in many cases better than its peers. In our view, Gabi

Seligsohn, the current CEO and President, has been the key person that has not

only helped grow the company but also driven the customer relationships that

have enhanced Nova’s competitive positioning in the metrology marketplace.

Page 12

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Gabi Seligsohn, CEO and President

Joining Nova in 1998, Mr. Seligsohn has served as CEO and President since

2006 after serving in several key executive positions before assuming the CEO

role. Prior to assuming the CEO and President roles, Mr. Seligsohn served as

EVP of Nova’s Global Business Management Group (from 2005-2006), President

of Nova’s U.S. subsidiary, Nova, Inc. (2002-2005), and VP of Strategic Business

Development (2000-2002). Mr. Seligsohn has been instrumental in not only

developing the OEM partnerships with Applied Materials and Lam Research, but

also in bringing to market Nova’s current T500/T600 product families.

Dror David, Chief Financial Officer

Mr. David also joined Nova in 1998 as the company’s controller. He has served

in various finance roles within the company before he assumed the CFO position

in 2005. Prior to joining Nova, Mr. David spent five years in public accounting

with Deloitte & Touché in Tel Aviv, Israel.

Eitan Oppenhaim, EVP of Global Business Group

Mr. Oppenhaim has served in this role since November 2010, coming over from

Alvarion Ltd., a global leader in 4G wireless communications, where he served

as Corporate Vice President and Europe General Manager. From 2007-2009, Mr.

Oppenhaim served as Vice President of sales and marketing at OptimalTest Ltd.,

a private test management software company. From 2002-2006, Mr. Oppenhaim

served as President of the flat panel displays division at Orbotech, a leading

equipment supplier for the flat panel display industry.

Estimates

We are initiating 2Q12 (June), FY12 (Dec), and FY13 estimates. Our 2Q12

(June) estimates call for $25.4 million in revenue and pro forma EPS of $0.17,

excluding options ($0.16, including). Our FY12 (June) estimates include $100.5

million in revenue and pro-forma EPS of $0.70, excluding options ($0.64,

including). Our FY13 estimates call for $110.0 million in revenue and pro-forma

EPS of $0.80, excluding options ($0.74, including). Our FY13 estimates are

based on our relatively favorable industry outlook for 2013 of flat to up 5%. Our

10% year over year revenue growth for Nova trends above our industry forecast

of flat to up 5%. If capex is at the high end of the 5%+ forecast, we would not be

surprised if there is additional upside to our Nova 2013 estimates.

Our FY12 estimates are generally in line with consensus, although we believe

there is potential upside if foundry spending does not fall off as many are

expecting. We are at the high end of 2013 forecasts as we believe 2013 will

represent another healthy year of capex spending. Although our EPS forecasts

are slightly below consensus, we believe the company will maintain an elevated

level of R&D spending (which management has publicly stated) to introduce new

products both for its existing markets, as well as entries into new ones.

Page 13

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Recent Quarterly Results (1Q12-March)

Nova Measuring Instruments reported 1Q12 (March) earnings results of $22.6

million in revenue and pro forma EPS of $0.15, excluding options ($0.13,

including). Gross margins came in at 55.6%, up from 54.9% in 4Q11 (Dec). On

the balance sheet, cash and total investments stayed essentially flat quarter-

over-quarter. DSOs increased to 74 days (from 64 days in December), while

inventory turns declined to 3.0x (from 3.6x in December).

All statements in this report attributable to Gartner represent Stifel Nicolaus's

interpretation of data, research opinion or viewpoints published as part of a

syndicated subscription service by Gartner, Inc., and have not been reviewed by

Gartner. Each Gartner publication speaks as of its original publication date (and

not as of the date of this report). The opinions expressed in Gartner publications

are not representations of fact, and are subject to change without notice.

Page 14

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Target Price Methodology/Risks

Our $11 target price is based on 3.0x book value, which the stock has reached inrecent upcycles. Risks to the target price include: 1) volatility of the semiconductorcycles, 2) scale relative to competitors, 3) lack of presence with Intel, 4) competitivepressures in the OCD metrology market, 5) near-term increase in R&D limitsoperating leverage, and 6) business operations and incorporation located in Israel.

Company Description

Nova Measuring Instruments is a leading provider of process control metrologysolutions serving the semiconductor industry. The company offers both integratedand stand-alone metrology solutions and is a leading player in the emerging opticalcritical dimension (OCD) metrology market.

Page 15

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Nova Measuring Instruments KLA-Tencor Nanometrics Rudolph Technologies

Gross Margins 55.6% 58.3% 46.3% 52.0%

Operating Expenses 41.1% 23.6% 37.8% 41.0%

Operating Margin 14.5% 34.7% 8.5% 11.0%

Pro-forma results as of end of March 2012 quarter (includes stock options expensing)

Source: Company reports

Nova Measuring Instruments KLA-Tencor * Nanometrics Rudolph Technologies

Gross Margins 55% 60% > 55% 55% - 56%

Operating Expenses 30-35% 25-30% < 30% 30%

Operating Margin 20-25% 30-35% > 25% 25%

Official company projections by respective companies (NVMI, NANO, RTEC)

* Stifel Nicolaus projections for KLA-Tencor

Source: Company reports and Stifel Nicolaus estimates

Recent Quarter Business Model ResultsAppendix 1

Appendix 2

Long-Term Business Model Projections

Page 16

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Comparable Companies Analysis

Price to Earnings

($ in Millions)

Price FY - '12E CY - '12E FY - '12E CY - '12E

Company Ticker 6/15/2012 Market Cap EPS EPS P / E P / E

Process Control

Nova Measuring Instruments NVMI $8.23 $224.1 $0.64 $0.64 12.9x 12.9x

Camtek CAMT $2.42 $71.9 $0.14 $0.14 17.3x 17.3x

KLA-Tencor KLAC $48.31 $8,219.8 $4.45 $4.90 10.9x 9.9x

Nanometrics NANO $15.77 $378.2 $0.68 $0.68 23.2x 23.2x

Rudolph Technologies RTEC $8.96 $293.1 $0.60 $0.60 14.9x 14.9x

Mean 15.8x 15.6x

Median 14.9x 14.9x

Large Caps

Applied Materials AMAT $10.99 $14,298.0 $0.90 $0.98 12.2x 11.2x

Lam Research LRCX $37.76 $4,567.3 $2.05 $2.83 18.4x 13.3x

Mean 15.3x 12.3x

Median 15.3x 12.3x

Notable Small Caps

Brooks Automation BRKS $9.64 $634.6 $0.70 $0.85 13.8x 11.3x

Cymer CYMI $57.43 $1,805.8 $1.00 $1.00 57.4x 57.4x

Entegris ENTG $7.75 $1,069.9 $0.62 $0.62 12.5x 12.5x

FormFactor FORM $6.29 $311.3 ($0.81) ($0.81) NM NM

MKS Instruments MKSI $27.94 $1,487.0 $1.89 $1.89 14.8x 14.8x

Photronics PLAB $6.00 $459.5 $0.60 $0.75 10.0x 8.0x

Mean 21.7x 20.8x

Median 13.8x 12.5x

Overall Mean 18.2x 17.2x

Overall Median 14.3x 13.1x

Source: FactSet and Stifel Nicolaus research

Bold companies indicate coverage companies

Estimates include stock options expensing

Appendix 3(a)

Page 17

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Comparable Companies AnalysisPrice to Book Value

($ in Millions)

Company Ticker Market Cap Current High Low Average High Low Average High Low Average High Low Average

Process Control

Nova Measuring Instruments NVMI $224.1 2.2x 5.2x 0.3x 2.1x 5.2x 0.3x 2.1x 5.2x 0.3x 2.1x 5.2x 0.3x 2.3x

Camtek Ltd. CAMT $71.9 1.2x 5.4x 0.1x 1.4x 3.3x 0.1x 1.3x 2.5x 0.1x 1.0x 2.5x 0.1x 1.0x

KLA-Tencor KLAC $8,219.8 2.6x 12.4x 0.9x 3.5x 3.5x 0.9x 2.6x 3.5x 0.9x 2.5x 3.5x 0.9x 2.4x

Nanometrics NANO $378.2 1.8x 12.2x 0.2x 1.6x 3.1x 0.2x 1.3x 3.1x 0.2x 1.3x 3.1x 0.2x 1.4x

Rudolph Technologies RTEC $293.1 1.3x 14.5x 0.2x 2.3x 2.2x 0.2x 1.3x 2.2x 0.2x 1.2x 2.2x 0.2x 1.2x

Mean 1.8x 9.9x 0.3x 2.2x 3.5x 0.3x 1.7x 3.3x 0.3x 1.6x 3.3x 0.3x 1.6x

Median 1.8x 12.2x 0.2x 2.1x 3.3x 0.2x 1.3x 3.1x 0.2x 1.3x 3.1x 0.2x 1.4x

Large Caps

Applied Materials AMAT $14,298.0 1.6x 15.0x 1.4x 3.7x 4.3x 1.4x 2.8x 4.3x 1.4x 2.6x 3.9x 1.4x 2.3x

Lam Research LRCX $4,567.3 1.8x 13.5x 1.1x 3.8x 6.3x 1.1x 3.3x 6.3x 1.1x 2.8x 3.5x 1.1x 2.5x

Mean 1.7x 14.2x 1.3x 3.7x 5.3x 1.3x 3.0x 5.3x 1.3x 2.7x 3.7x 1.3x 2.4x

Median 1.7x 14.2x 1.3x 3.7x 5.3x 1.3x 3.0x 5.3x 1.3x 2.7x 3.7x 1.3x 2.4x

Notable Small Caps

Brooks Automation BRKS $634.6 1.2x 7.0x 0.3x 1.7x 2.6x 0.3x 1.4x 2.2x 0.3x 1.3x 2.2x 0.3x 1.2x

Cymer CYMI $1,805.8 2.3x 13.6x 1.0x 2.8x 3.5x 1.0x 2.1x 2.7x 1.0x 1.9x 2.7x 1.0x 1.8x

Entegris ENTG $1,069.9 1.7x 4.3x 0.2x 1.8x 2.8x 0.2x 1.5x 2.8x 0.2x 1.5x 2.8x 0.2x 1.5x

FormFactor FORM $311.3 0.9x 9.3x 0.6x 2.4x 5.2x 0.6x 2.1x 3.6x 0.6x 1.5x 2.1x 0.6x 1.2x

MKS Instruments MKSI $1,487.0 1.5x 12.6x 0.6x 1.9x 2.0x 0.6x 1.3x 2.0x 0.6x 1.3x 2.0x 0.6x 1.3x

Photronics PLAB $459.5 0.8x 5.1x 0.0x 1.4x 2.3x 0.0x 0.8x 1.2x 0.0x 0.7x 1.2x 0.0x 0.6x

Mean 1.4x 8.6x 0.5x 2.0x 3.1x 0.5x 1.5x 2.4x 0.5x 1.4x 2.2x 0.5x 1.3x

Median 1.3x 8.2x 0.5x 1.9x 2.7x 0.5x 1.4x 2.5x 0.5x 1.4x 2.2x 0.5x 1.3x

Overall Mean 1.6x 10.0x 0.5x 2.3x 3.6x 0.5x 1.8x 3.2x 0.5x 1.7x 2.8x 0.5x 1.6xOverall Median 1.6x 12.2x 0.3x 2.1x 3.3x 0.3x 1.5x 2.8x 0.3x 1.5x 2.7x 0.3x 1.4x

Source: FactSet and Stifel Nicolaus Research

Bold companies indicate coverage companies

Since 2000 Since 2005 Since 2007 Since 2008

Appendix 3(b)

Page 18

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Comparable Companies Analysis

Price to LTM Sales

($ in Millions)

Company Ticker Market Cap Current High Low Average High Low Average High Low Average High Low Average

Process Control

Nova Measuring Instruments NVMI $224.1 2.3x 4.6x 0.2x 1.7x 4.0x 0.2x 1.5x 4.0x 0.2x 1.5x 4.0x 0.2x 1.6x

Camtek Ltd. CAMT $71.9 0.7x 6.8x 0.1x 1.3x 2.5x 0.1x 1.1x 1.8x 0.1x 0.8x 1.8x 0.1x 0.7x

KLA-Tencor KLAC $8,219.8 2.6x 14.9x 1.1x 4.4x 5.4x 1.1x 3.4x 4.8x 1.1x 3.0x 4.8x 1.1x 2.8x

Rudolph Technologies RTEC $293.1 1.6x 11.4x 0.5x 3.4x 4.8x 0.5x 2.2x 3.8x 0.5x 1.9x 3.8x 0.5x 1.8x

Nanometrics NANO $378.2 1.7x 12.8x 0.1x 2.6x 3.5x 0.1x 1.5x 3.5x 0.1x 1.4x 3.5x 0.1x 1.4x

Mean 1.8x 10.1x 0.4x 2.7x 4.0x 0.4x 1.9x 3.6x 0.4x 1.7x 3.6x 0.4x 1.7x

Median 1.7x 11.4x 0.2x 2.6x 4.0x 0.2x 1.5x 3.8x 0.2x 1.5x 3.8x 0.2x 1.6x

Large Caps

Applied Materials AMAT $14,298.0 1.5x 14.5x 1.2x 4.1x 4.8x 1.2x 2.7x 4.0x 1.2x 2.3x 4.0x 1.2x 2.2x

Lam Research LRCX $4,567.3 1.7x 7.2x 0.8x 2.8x 5.1x 0.8x 2.7x 5.0x 0.8x 2.4x 5.0x 0.8x 2.3x

Mean 1.6x 10.8x 1.0x 3.4x 5.0x 1.0x 2.7x 4.5x 1.0x 2.3x 4.5x 1.0x 2.2x

Median 1.6x 10.8x 1.0x 3.4x 5.0x 1.0x 2.7x 4.5x 1.0x 2.3x 4.5x 1.0x 2.2x

Notable Small Caps

Brooks Automation BRKS $634.6 1.1x 7.6x 0.3x 1.7x 2.7x 0.3x 1.3x 2.7x 0.3x 1.2x 2.7x 0.3x 1.2x

Cymer CYMI $1,805.8 3.1x 7.8x 1.1x 3.1x 4.9x 1.1x 2.6x 3.8x 1.1x 2.4x 3.8x 1.1x 2.3x

Entegris ENTG $1,069.9 1.5x 5.7x 0.1x 2.1x 3.7x 0.1x 1.7x 2.4x 0.1x 1.4x 1.9x 0.1x 1.2x

FormFactor FORM $311.3 1.9x 8.5x 1.3x 4.2x 8.5x 1.3x 3.9x 8.5x 1.3x 3.7x 8.5x 1.3x 3.4x

MKS Instruments MKSI $1,487.0 1.9x 8.1x 0.9x 2.3x 2.6x 0.9x 1.7x 2.6x 0.9x 1.6x 2.6x 0.9x 1.6x

Photronics PLAB $459.5 0.9x 4.6x 0.0x 1.4x 2.2x 0.0x 1.0x 1.5x 0.0x 0.8x 1.3x 0.0x 0.6x

Mean 1.7x 7.0x 0.6x 2.5x 4.1x 0.6x 2.0x 3.6x 0.6x 1.8x 3.4x 0.6x 1.7x

Median 1.6x 7.7x 0.6x 2.2x 3.2x 0.6x 1.7x 2.6x 0.6x 1.5x 2.6x 0.6x 1.4x

Overall Mean 1.7x 8.8x 0.6x 2.7x 4.2x 0.6x 2.1x 3.7x 0.6x 1.9x 3.7x 0.6x 1.8x

Overall Median 1.7x 7.8x 0.5x 2.6x 4.0x 0.5x 1.7x 3.8x 0.5x 1.6x 3.8x 0.5x 1.6x

Source: FactSet and Stifel Nicolaus research

Bold companies indicate coverage companies

Appendix 3(c)

Since 2000 Since 2005 Since 2007 Since 2008

Page 19

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Patrick Ho

Nova Measuring Instruments Ltd. (214) 647-3509

Income Statement ($ in millions except per share data)

December Fiscal Year 2013

1QA 2QA 3QA 4QA 2011A 1QA 2QE 3QE 4QE 2012E 2013E

Revenues $28.2 $29.6 $25.8 $19.2 $102.8 $22.6 $25.4 $24.4 $28.1 $100.5 $110.0

Cost of Revenues $11.9 $12.5 $11.6 $8.6 $44.6 $9.9 $11.5 $11.1 $12.5 $45.0 $49.0

Stock Options Compensation $0.0 $0.1 $0.1 $0.1 $0.3 $0.1 $0.1 $0.1 $0.1 $0.3 $0.2

Gross Profit $16.2 $17.1 $14.1 $10.5 $58.0 $12.6 $13.9 $13.2 $15.5 $55.2 $60.8

Research & Development $4.9 $4.5 $4.5 $4.5 $18.4 $5.5 $5.8 $5.8 $6.0 $23.1 $24.4

Selling & Marketing $2.7 $2.7 $2.9 $2.9 $11.1 $2.8 $2.9 $2.9 $2.9 $11.5 $12.2

General & Administrative $0.6 $0.8 $0.6 $0.6 $2.6 $0.7 $0.8 $0.8 $0.9 $3.2 $3.7

Stock options expensing $0.2 $0.2 $0.3 $0.4 $1.2 $0.3 $0.3 $0.3 $0.4 $1.4 $1.6

Total Operating Expenses $8.4 $8.2 $8.4 $8.3 $33.3 $9.3 $9.8 $9.8 $10.2 $39.1 $41.8

Total Operating Income (incl. Stock-based comp) $7.8 $8.9 $5.7 $2.3 $24.7 $3.3 $4.0 $3.5 $5.3 $16.1 $18.9

Total Operating Income (excl. stock based comp) $8.1 $9.1 $6.2 $2.7 $26.2 $3.7 $4.4 $3.9 $5.8 $17.7 $20.7

Interest and Other Income $0.2 $0.3 $0.2 $0.2 $0.9 $0.4 $0.3 $0.3 $0.4 $1.5 $1.6

Interest and Other Expense $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0

Total Interest Income and Other (Expense) $0.2 $0.3 $0.2 $0.2 $0.9 $0.4 $0.3 $0.3 $0.4 $1.5 $1.6

Pretax Income $8.0 $9.2 $5.9 $2.5 $25.6 $3.7 $4.4 $3.8 $5.7 $17.6 $20.5

Income Tax (Benefit) Non-GAAP $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0 $0.0

Net Income From Operations (incl. stock based comp) $8.0 $9.2 $5.9 $2.5 $25.6 $3.6 $4.4 $3.8 $5.7 $17.5 $20.5

Net Income From Operations (excl. stock based comp) $8.3 $9.4 $6.4 $2.9 $27.1 $4.1 $4.8 $4.2 $6.1 $19.2 $22.2

Shares Outstanding (Basic) 26.0 26.3 26.3 26.4 26.2 26.5 26.7 26.8 26.9 26.8 27.1

Shares Outstanding (Diluted) 27.0 27.2 27.1 27.0 27.1 27.2 27.4 27.5 27.6 27.5 27.8

Continuing Operating EPS (Pro-forma-Diluted Incl. Stock based comp) $0.30 $0.34 $0.22 $0.09 $0.95 $0.13 $0.16 $0.14 $0.21 $0.64 $0.74

Continuing Operating EPS (Pro-forma-Diluted Excl. Stock based comp) $0.31 $0.35 $0.23 $0.11 $1.00 $0.15 $0.17 $0.15 $0.22 $0.70 $0.80

Extraordinary Items $0.0 $0.0 $0.0 $2.5 $2.5 ($0.9) $0.0 $0.0 $0.0 ($0.9) $0.0

Reported Net Income $8.0 $9.2 $5.9 $5.0 $28.1 $2.7 $4.4 $3.8 $5.7 $16.6 $20.5

Reported EPS $0.30 $0.34 $0.22 $0.18 $1.04 $0.10 $0.16 $0.14 $0.21 $0.61 $0.74

As a % of Revenue

Gross Margin 57.6% 57.7% 54.7% 54.9% 56.4% 55.6% 54.8% 54.5% 55.5% 55.0% 55.2%

Research & Development 17.5% 15.2% 17.5% 23.2% 17.9% 24.3% 22.8% 23.7% 21.5% 23.0% 22.2%

Selling & Marketing 9.4% 9.2% 11.2% 14.9% 10.8% 12.4% 11.6% 11.7% 10.3% 11.4% 11.1%

General & Administrative 2.2% 2.7% 2.4% 2.9% 2.5% 3.1% 3.0% 3.3% 3.2% 3.1% 3.4%

Operating Income 27.8% 29.9% 22.3% 11.8% 24.0% 14.5% 15.9% 14.2% 19.0% 16.0% 17.2%

Pretax Income 28.6% 30.9% 23.0% 12.9% 24.9% 16.3% 17.2% 15.6% 20.3% 17.5% 18.6%

Tax Rate (% of Pretax Income) 0.0% 0.0% 0.0% 0.0% 0.0% 1.0% 0.0% 0.0% 0.0% 0.0% 0.0%

Net Income 28.6% 30.9% 23.0% 12.9% 24.9% 16.1% 17.2% 15.6% 20.3% 17.5% 18.6%

Y/Y Growth

Revenue 76% 53% 7% -29% 19% -20% -14% -6% 46% -2% 9%

Operating Expenses 56% 43% 31% 3% 30% 11% 19% 17% 23% 18% 7%

Continuing Operating EPS 167% 89% -20% -67% 12% -55% -53% -37% 126% -33% 15%

Q/Q Growth

Revenue 4% 5% -13% -26% NA 18% 12% -4% 15% NA NA

Operating Expenses 5% -2% 2% -1% NA 13% 6% -1% 4% NA NA

Continuing Operating EPS 6% 13% -35% -58% NA 46% 19% -13% 49% NA NA

Source: Company reports and Stifel Nicolaus estimates

2011 2012

Page 20

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Nova Measuring Instruments Ltd.

Balance Sheet ($ in millions except per share data)

December fiscal year

2012

1QA 2QA 3QA 4QA 1QA

Assets

Current Assets:

Cash and Cash Equivalents $15.0 $11.1 $7.7 $18.7 $26.6

ST Investments and Securities $55.9 $66.5 $75.4 $67.8 $59.5

Accounts Receivable $14.8 $19.7 $12.1 $13.4 $18.5

Inventories $11.9 $13.6 $11.4 $9.6 $13.3

Deferred Income Taxes, Current $0.0 $0.0 $0.0 $2.5 $1.6

Other Current Assets $2.6 $2.8 $1.2 $1.2 $2.2

Total Current Assets $100.1 $113.6 $107.8 $113.2 $121.7

.

LT Investments $0.6 $0.6 $0.6 $0.5 $0.5

Other Long-Term Assets $0.3 $0.3 $0.3 $0.3 $0.3

Severance Pay Funds $3.0 $3.1 $3.0 $2.9 $3.1

Fixed Assets $3.6 $4.3 $5.1 $6.0 $5.9

Intangible Assets $0.0 $0.0 $0.0 $0.0 $0.0

Total Assets $107.6 $122.0 $116.8 $122.9 $131.5

Liabilities & Shareholders' Equity

Liabilities

Accounts Payable $12.6 $12.0 $8.0 $8.3 $12.0

Deferred Income $3.8 $9.0 $2.2 $2.2 $3.5

Other Current Liabilities $8.6 $8.1 $7.5 $8.1 $7.9

Total Current Liabilities $25.0 $29.0 $17.7 $18.6 $23.5

Liability for employee severance pay $3.9 $4.3 $4.0 $3.9 $4.1

Deferred Income, non current $0.0 $0.0 $0.8 $0.6 $0.4

Other Liabilities $0.0 $0.0 $0.0 $0.0 $0.0

Total Liabilities $28.9 $33.4 $22.5 $23.0 $28.0

Minority Interest $0.0 $0.0 $0.0 $0.0 $0.0

Total Stockholders' Equity $78.8 $88.6 $94.3 $99.9 $103.6

Total Liabilities & Shareholders' Equity $107.6 $122.0 $116.8 $122.9 $131.5

Balance Sheet Ratio Analysis

Working Capital $75 $85 $90 $95 $98

Current Ratio 4.0x 3.9x 6.1x 6.1x 5.2x

Quick Ratio 3.5x 3.4x 5.5x 5.6x 4.6x

Receivable Days (DSO) 48 60 43 64 74

Days Inventory 90 98 88 101 120

Inventory Turns 4.1x 3.7x 4.1x 3.6x 3.0x

Accounts Payable Days 96 86 62 87 109

Cash per Share $2.65 $2.88 $3.09 $3.22 $3.18

Book Value/Share $2.91 $3.26 $3.48 $3.70 $3.80

Returns Analysis

Return on Equity 40.9% 41.4% 25.2% 9.9% 14.1%

Return on Assets 29.9% 30.0% 20.3% 8.0% 11.1%

Return on Sales 28.6% 30.9% 23.0% 12.9% 16.1%

Source: Company Reports

2011

Page 21

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Nova Measuring Instruments Ltd.

Cash Flow Statement ($ mil) 2010 2011

Operating Activities

Net Income for the year $22.2 $28.1

Adjustments to reconcile net income to net cash

Depreciation and amortization $1.3 $1.7

Amortization of deferred stock-based compensation $0.7 $1.4

Deferred income taxes $0.0 ($2.5)

Increase (decrease) in liability for employee termination benefits, net $0.1 $0.0

Increase in accounts receivables ($1.6) ($0.2)

Decrease (increase) in inventories ($7.5) ($1.1)

Decrease (increase) in other current and long term assets $0.2 ($0.3)

Increase in accounts payables and other LT liabilities $6.2 ($1.6)

Increase in other current liabilities $2.7 $0.2

Increase (decrease) in short and long term deferred income $1.5 ($0.6)

Net cash provided by (used in) operating activities $25.8 $25.1

Investment Activities

Increase in short-term interest-bearing bank deposits ($27.0) ($30.7)

Investments in short-term held to maturity securities $0.0 ($1.6)

Increase in long-term interest-bearing bank deposits and long-term investments ($0.1) $0.1

Additions to fixed assets ($1.6) ($2.3)

Net cash provided used in investment activities ($28.6) ($34.5)

Financing Activities

Shares issued in a public offering $17.0 $2.7

Shares issued under employee share-based plans $1.3 $0.0

Net cash provided used by financing activities $18.3 $2.7

Increase (decrease) in cash and cash equivalents $15.5 ($6.7)

Source: Company reports and filings

Page 22

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Important Disclosures and Certifications

I, Patrick J. Ho, certify that the views expressed in this research report accurately reflect my personal viewsabout the subject securities or issuers; and I, Patrick J. Ho, certify that no part of my compensation was, is, orwill be directly or indirectly related to the specific recommendations or views contained in this researchreport. For our European Conflicts Management Policy go to the research page at www.stifel.com.

Q1 Q2 Q3 Q1 Q2 Q3 Q1 Q2 Q3 Q1 Q20

3

6

9

12

2010 2011 2012

Rating and Price Target History for: Nova Measuring Instruments Ltd. (NVMI) as of 06-15-2012

Created by BlueMatrix

Rating Key

B - Buy UR - Under Review

H - Hold NR - No Rating

S - Sell NA - Not Applicable

I - Initiation RS - Rating Suspended

D - Dropped

For a price chart with our ratings and target price changes for NVMI go tohttp://sf.bluematrix.com/bluematrix/Disclosure?ticker=NVMI

Stifel, Nicolaus & Company, Inc. expects to receive or intends to seek compensation for investment banking servicesfrom Nova Measuring Instruments Ltd. in the next 3 months.

Stifel, Nicolaus & Company, Inc. makes a market in the securities of Nova Measuring Instruments Ltd..

Stifel, Nicolaus & Company, Inc.'s research analysts receive compensation that is based upon (among other factors)Stifel Nicolaus' overall investment banking revenues.

Our investment rating system is three tiered, defined as follows:

BUY -For U.S. securities we expect the stock to outperform the S&P 500 by more than 10% over the next 12 months.For Canadian securities we expect the stock to outperform the S&P/TSX Composite Index by more than 10% over thenext 12 months. For other non-U.S. securities we expect the stock to outperform the MSCI World Index by more than10% over the next 12 months. For yield-sensitive securities, we expect a total return in excess of 12% over the next 12months for U.S. securities as compared to the S&P 500, for Canadian securities as compared to the S&P/TSXComposite Index, and for other non-U.S. securities as compared to the MSCI World Index.

HOLD -For U.S. securities we expect the stock to perform within 10% (plus or minus) of the S&P 500 over the next 12months. For Canadian securities we expect the stock to perform within 10% (plus or minus) of the S&P/TSX CompositeIndex. For other non-U.S. securities we expect the stock to perform within 10% (plus or minus) of the MSCI WorldIndex. A Hold rating is also used for yield-sensitive securities where we are comfortable with the safety of the dividend,but believe that upside in the share price is limited.

SELL -For U.S. securities we expect the stock to underperform the S&P 500 by more than 10% over the next 12months and believe the stock could decline in value. For Canadian securities we expect the stock to underperform theS&P/TSX Composite Index by more than 10% over the next 12 months and believe the stock could decline in value.For other non-U.S. securities we expect the stock to underperform the MSCI World Index by more than 10% over thenext 12 months and believe the stock could decline in value.

Page 23

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012

Of the securities we rate, 48% are rated Buy, 49% are rated Hold, and 3% are rated Sell.

Within the last 12 months, Stifel, Nicolaus & Company, Inc. or an affiliate has provided investment banking services for22%, 12% and 3% of the companies whose shares are rated Buy, Hold and Sell, respectively.

Additional Disclosures

Please visit the Research Page at www.stifel.com for the current research disclosures applicable to the companiesmentioned in this publication that are within Stifel Nicolaus' coverage universe. For a discussion of risks to target priceplease see our stand-alone company reports and notes for all Buy-rated stocks.

The information contained herein has been prepared from sources believed to be reliable but is not guaranteed by usand is not a complete summary or statement of all available data, nor is it considered an offer to buy or sell anysecurities referred to herein. Opinions expressed are subject to change without notice and do not take into account theparticular investment objectives, financial situation or needs of individual investors. Employees of Stifel, Nicolaus &Company, Inc. or its affiliates may, at times, release written or oral commentary, technical analysis or trading strategiesthat differ from the opinions expressed within. Past performance should not and cannot be viewed as an indicator offuture performance.

Stifel, Nicolaus & Company, Inc. is a multi-disciplined financial services firm that regularly seeks investment bankingassignments and compensation from issuers for services including, but not limited to, acting as an underwriter in anoffering or financial advisor in a merger or acquisition, or serving as a placement agent in private transactions.Moreover, Stifel Nicolaus and its affiliates and their respective shareholders, directors, officers and/or employees, mayfrom time to time have long or short positions in such securities or in options or other derivative instruments basedthereon.

These materials have been approved by Stifel Nicolaus Europe Limited, authorized and regulated by the FinancialServices Authority (UK), in connection with its distribution to professional clients and eligible counterparties in theEuropean Economic Area. (Stifel Nicolaus Europe Limited home office: London +44 20 7557 6030.) No investments orservices mentioned are available in the European Economic Area to retail clients or to anyone in Canada other than aDesignated Institution. This investment research report is classified as objective for the purposes of the FSA rules.Please contact a Stifel Nicolaus entity in your jurisdiction if you require additional information.

The use of information or data in this research report provided by or derived from Standard & Poor’s FinancialServices, LLC is © 2012, Standard & Poor’s Financial Services, LLC (“S&P”). Reproduction of Compustat data and/orinformation in any form is prohibited except with the prior written permission of S&P. Because of the possibility ofhuman or mechanical error by S&P’s sources, S&P or others, S&P does not guarantee the accuracy, adequacy,completeness or availability of any information and is not responsible for any errors or omissions or for the resultsobtained from the use of such information. S&P GIVES NO EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUTNOT LIMITED TO, ANY WARRANTIES OF MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE ORUSE. In no event shall S&P be liable for any indirect, special or consequential damages in connection with subscriber’sor others’ use of Compustat data and/or information. For recipient’s internal use only.

Additional Information Is Available Upon Request

© 2012 Stifel, Nicolaus & Company, Inc. One South Street Baltimore, MD 21202. All rights reserved.

Page 24

Nova Measuring Instruments Ltd. (NVMI) June 18, 2012