60
Suppliers Directory 2012 Suppliers Directory 2012 Together We’re Better

NMI Supplier Dir 2012

Embed Size (px)

DESCRIPTION

NMI's Supplier Directory 2012

Citation preview

Page 1: NMI Supplier Dir 2012

Suppliers Directory 2012Suppliers Directory 2012

TogetherWe’re Better

Page 2: NMI Supplier Dir 2012

Fabricated Quartz Glass and Thermocouplesfor the Microelectronics Industry

Fabricated Quartz Glass and Thermocouplesfor the Microelectronics Industry

ThermocouplesThermocouples FabricatedQuartz GlassFabricated

Quartz GlassAdvancedCeramicsAdvancedCeramics

The largest fabricator of quartz glass and thermocouples for the microelectronics industry

in the UK & Irelandv

v

v

v

v

v

v

Modern state of the art quartz facility, previously owned by Heraeus

Supplier of furnace quartz ware and thermocouples for most OEM`s

All products built using Heraeus or Momentive quartz glass

Quartz tanks and single wafers parts for up to 300mm applications

Quartz repair service, cost of ownership and drawing support

Thermocouples: Including new, new for old, repair / recalibration all to UKAS standard.

Supplier of advanced ceramics including alumina, sic, sapphire, BPN and macor

.Multi-lab QuartzTec Ltd

5 Langlands PlaceKelvin South Business Park

East Kilbride, G75 0YFTel: (+) 44 1355 244456Fax:(+) 44 1355 246669

email: [email protected]

eraeus

Our full listing can be found on page 25

Page 3: NMI Supplier Dir 2012

Welcome to the third issue of the NMI Suppliers Directory, which contains even more hi-tech suppliers than the second. As the premier trade organisation for the high tech sector within the UK, the NMI believe that maintaining a healthy and competitive infrastructure is critical to the success of the whole sector. For this reason, we are committed to producing and distributing this directory to provide effective linkages between customers and suppliers. If you have any suggestions as to how to improve it then please let us know.

Benefits of being a member of the NMI Supplier Group include :

Invitations to participate in exhibitions at manufacturing sites and NMI technical conferences. Preferential rates to attend and exhibit at international trade shows. Opportunities to attend business networking events where knowledge is shared and business opportunities can be explored.Trade body representation within the Semiconductor industry at local and national Government levels. Inclusion in this “NMI Suppliers Directory” which is circulated to our Equipment Engineering, Purchasing and Facilities Engineering contacts.

If you are a supplier and would like to join us then please contact Mark Hodgetts using the contact details below.

NMI Supplier Group contact: Dr Mark HodgettsSupplier Group [email protected] 55494607956 516076

About the NMI

The NMI is the premier trade association representing Electronic Systems, Microelectronics and Semiconductor Communities. We are primarily focussed in the UK and Ireland but with many other international links. Its objective is to help build and support a strong electronics community by acting as a catalyst and facilitator for both commercial and technological development. Our members find that the more they participate the more they benefit. A not-for-profit organisation funded by its members, the NMI has a membership that spans the supply chain and includes electronic systems, fabless semiconductor manufacturers, semiconductor manufacturers, suppliers, foundries, design services, IP providers, business associates, research and academic institutions.

Want to find out more?

If you are interested in finding out more about NMI and its activities, please visit our web sitewww.nmi.org.uk

NMI promotes its members across many stakeholder groups and encourages a professional business code of conduct.

NMI Manufacturing contact: David Law MScDirector of Manufacturing [email protected] 40121207739 427767

1

TogetherWe’re Better

Page 4: NMI Supplier Dir 2012

2

NMI wish to congratulate the firms, who are shown above, as they were voted to be the top ten suppliers in 2011 within our sector. Maintaining a high performing infrastructure is essential to enable a high performing electronics sector within the UK, these organisations are the ones that are leading the charge!

The top ten suppliers were identified by asking our manufacturing sites to rate all NMI Supplier members in the following areas:

• Customer Responsiveness• Quality of Products• Criticality of Product to Business• Continuous Improvement Activities to Improve Products or Services

NMI SUPPLIER OF THE YEAR 2011

The NMI Supplier of the Year award was given to Multi-lab at the NMI Gala Awards Dinner 2011 in London. Pictured are Derek Boyd (NMI), Gordon Whyte (Multilab),

Paul Jackman (Multi-Lab) and Mark Hodgetts (NMI)

SUPPLIER RECOGNITION AWARDS - 2011

Stepper Technology LtdStepper Technology LtdStepper Technology Ltd

ibsibs

Page 5: NMI Supplier Dir 2012

Page PageCONTENTS

40-30 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6Almond Engineering . . . . . . . . . . . . . . . . . . 6AnCuig Ltd. . . . . . . . . . . . . . . . . . . . . . . . . . 7ASE (Europe) Inc . . . . . . . . . . . . . . . . . . . . . 7Brooks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9Busch Semicon . . . . . . . . . . . . . . . . . . . . . . 9Compugraphics International Ltd . . . . . . . . 10Coretest Technologies Ltd . . . . . . . . . . . . . 10Delta . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10Deposition Technology Ltd. . . . . . . . . . . . . 11DfT Solutions Ltd . . . . . . . . . . . . . . . . . . . . 11Dockweiler UK Ltd. . . . . . . . . . . . . . . . . . . 11Dupont (EKC). . . . . . . . . . . . . . . . . . . . . . . 12Ebara Precision Machinery Europe. . . . . . . 12Edex Systems . . . . . . . . . . . . . . . . . . . . . . 12Eltek Semiconductors Ltd . . . . . . . . . . . . . 13Enterprise Q Ltd. . . . . . . . . . . . . . . . . . . . . 13Exception PCB . . . . . . . . . . . . . . . . . . . . . . 13FAST Technologies . . . . . . . . . . . . . . . . . . 14FEI UK Ltd . . . . . . . . . . . . . . . . . . . . . . . . . 14Fluidic Ltd . . . . . . . . . . . . . . . . . . . . . . . . . 14Fourteen Technology Limited . . . . . . . . . . . 14GaN Systems Ltd . . . . . . . . . . . . . . . . . . . 15Global Project Services . . . . . . . . . . . . . . . 15Global Technologies. . . . . . . . . . . . . . . . . . 16Highland Scientific. . . . . . . . . . . . . . . . . . . 16Ingenious Quality Limited. . . . . . . . . . . . . . 16Ion Beam Services. . . . . . . . . . . . . . . . . . . 17IQE Europe Ltd. . . . . . . . . . . . . . . . . . . . . . 17Jaltek Design Services . . . . . . . . . . . . . . . 19Logos Logistics Limited . . . . . . . . . . . . . . . 19Loughborough Surface Analysis. . . . . . . . . 19LTX-Credence Corporation . . . . . . . . . . . . . 20Lynbond 2000 . . . . . . . . . . . . . . . . . . . . . . 20M + W Group. . . . . . . . . . . . . . . . . . . . . . 22Maser Engineering. . . . . . . . . . . . . . . . . . . 22Megatech Ltd . . . . . . . . . . . . . . . . . . . . . . 24memsstar . . . . . . . . . . . . . . . . . . . . . . . . . 24Metryx Limited . . . . . . . . . . . . . . . . . . . . . 24Mfg Vision Ltd . . . . . . . . . . . . . . . . . . . . . . 25Microlease Finance . . . . . . . . . . . . . . . . . . 25Micross Components . . . . . . . . . . . . . . . . 25Multi-Lab Ltd . . . . . . . . . . . . . . . . . . . . . . . 25MVTS Technologies (Europe) Ltd . . . . . . . . 26Nanoscope Services Ltd . . . . . . . . . . . . . . 26

Nor-Cal UK Ltd . . . . . . . . . . . . . . . . . . . . . 26NTEK Consultants . . . . . . . . . . . . . . . . . . . 27OM Group Ultra Pure Chemicals . . . . . . . . 27Optocap . . . . . . . . . . . . . . . . . . . . . . . . . . 30Pfeiffer Vacuum . . . . . . . . . . . . . . . . . . . . . 30Phasix ESD . . . . . . . . . . . . . . . . . . . . . . . . 32Photronics UK Ltd . . . . . . . . . . . . . . . . . . . 32Plaspro GmbH . . . . . . . . . . . . . . . . . . . . . . 32Presto Engineering Europe. . . . . . . . . . . . . 32Pulse Power and Measurement Ltd . . . . . . 33Pure Wafer Ltd . . . . . . . . . . . . . . . . . . . . . 33Reliability Consultancy Limited . . . . . . . . . 33Reliability Solutions . . . . . . . . . . . . . . . . . . 35Reltech Limited . . . . . . . . . . . . . . . . . . . . . 35Retronix Semiconductor Ltd . . . . . . . . . . . 36RF Global Solutions Ltd . . . . . . . . . . . . . . . 36RF Support Ltd. . . . . . . . . . . . . . . . . . . . . . 38RoodMicrotec . . . . . . . . . . . . . . . . . . . . . . 38S3 Alliance Limited . . . . . . . . . . . . . . . . . . 39Savantech Ltd . . . . . . . . . . . . . . . . . . . . . . 39Scotech Ltd . . . . . . . . . . . . . . . . . . . . . . . . 40Scottish Microelectronics Centre . . . . . . . 40Sel-Tek Ltd. . . . . . . . . . . . . . . . . . . . . . . . . 42Semi Scenic UK Ltd. . . . . . . . . . . . . . . . . . 42SERMA Technologies. . . . . . . . . . . . . . . . . 43Simple Technical Solutions (STS) Ltd. . . . . 43SiSTEM Technology . . . . . . . . . . . . . . . . . . 43SiVenture. . . . . . . . . . . . . . . . . . . . . . . . . . 44Smartest GmbH. . . . . . . . . . . . . . . . . . . . . 45Solar Semi Engineering . . . . . . . . . . . . . . . 45SPS Ltd . . . . . . . . . . . . . . . . . . . . . . . . . . . 46SPTS Technologies . . . . . . . . . . . . . . . . . . 46Stepper Technology Ltd . . . . . . . . . . . . . . . 48STFC Rutherford Appleton Laboratory . . . . 48T Squared Group . . . . . . . . . . . . . . . . . . . . 50Teradyne Ltd . . . . . . . . . . . . . . . . . . . . . . . 50Tetreon Technologies Ltd . . . . . . . . . . . . . . 50Thin Film Equipment (TFE) . . . . . . . . . . . . . 52Tokyo Electron Europe Ltd . . . . . . . . . . . . . 52Tyndall National Institute . . . . . . . . . . . . . . 53U4Global Solutions . . . . . . . . . . . . . . . . . . 54Unisem (Europe) Ltd . . . . . . . . . . . . . . . . . 54Vance Precision Technologies Ltd . . . . . . . 55Westerwood (WG) Global Ltd . . . . . . . . . . 55Wordentec Ltd . . . . . . . . . . . . . . . . . . . . . 55

PLEASE ALSO SEE PAGE 57+ FOR COMPANIES ADDED SINCE PUBLICATION

3

Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Page 6: NMI Supplier Dir 2012

Analytical Tools & Services

Cleanroom Consumables

Cleanroom Services & Facilities

Consultancy Services

Equipment Sales & Refurbishment

FEI UK LtdLoughborough Surface AnalysisMaser EngineeringMetryx LimitedMfg VisionMicrolease FinanceNanoscope Services LimitedPhasix ESDPlaspro GmbHPresto Engineering EuropePure Wafer LtdReliability SolutionsRoodMicrotecS3 AllianceScottish Microelectronics CenteSERMA TechnologiesSiVentureSolar Semi EngineeringSTFC Rutherford Appleton LaboratoryTyndall National InstituteUnisem (Europe) Ltd

FAST TechnologiesLynbond 2000S3 AllianceSiSTEM Technology

Eltek Semiconductors LtdFAST TechnologiesFluidic LtdGlobal Project ServicesHighland ScientificM+W GroupMicross ComponentsRetronix SemiconductorScotech LtdSel-Tek LtdSimple Technical Solutions (STS) LtdSiSTEM TechnologySPS LtdT Squared Group

AnCuig LtdDeltaDFT Solutions LtdEdex SystemsGlobal TechnologiesIngenious Quality LtdIon Beam ServicesMegatech LtdMicross ComponentsOM Group Ultra Pure ChemicalsPlaspro GmbHPresto Engineering EuropeReliability Consultancy LtdReliability SolutionsRetronix Semiconductor LtdRF Global Solutions LtdSavantech LtdSemi Scenic UK LtdSERMA TechnologiesSmartest GmbHTetreon Technologies LtdTyndall National InstituteWordentec

Coretest Technologies LtdDeposition Technology LtdEdex SystemsEnterprise Q LtdFAST TechnologiesFEI UK LtdFluidic LtdGaN Systems LtdGlobal TechnologiesIon Beam ServicesLTX-Credence CorporationMegatech LtdmemsstarMicrolease FinanceMulti-lab LtdMVTS Technologies (Europe) LtdNTEK ConsultantsPlaspro GmbHPulse Power and Measurement LtdRetronix SemiconductorRF Global Solutions LtdS3 AllianceSel-Tek Ltd

Semi Scenic UK LtdSimple Technical Solutions (STS) LtdSiSTEM TechnologySolar Semi EngineeringSPTS TechnologiesSPS LtdStepper Technology LtdTeradyne LtdTetreon Technologies LtdThin Film Equipment (TFE)Tokyo Electron Europe LtdU4 Global Solutions Vance Precision Technologies LtdWordentec Ltd

40-30Coretest Technologies LtdDeposition Technology LtdDockweiler UK LtdEdex SystemsEnterprise Q LtdFAST TechnologiesFEI UK LtdFluidic LtdFourteen Technology LtdGlobal TechnologiesIon Beam ServicesLTX-Credence CorporationMegatech LtdmemsstarMulti-Lab LtdMVTS Technologies (Europe) LtdNor-Cal UK LtdNTEK ConsultantsPlaspro GmbHPulse Power and Measurement LtdRF Global Solutions LtdRF Support LtdS3 Alliance LtdSel-tek LtdSemi Scenic UK LtdSimple Technical Solutions (STS) LtdSiSTEM TechnologySolar Semi EngineeringSPTS TechnologiesSPS LtdStepper Technology LtdTetreon Technologies LtdTokyo Electron Europe LtdU4Global SolutionsVance Precision Technologies LtdWordentec Ltd

40-30Almond EngineeringCoretest Technologies LtdDeposition Technology LtdEdex SystemsFAST TechnologiesGlobal TechnologiesHighland ScientificIon Beam ServicesLogos Logistics LtdLTX-Credence CorporationMegatech LtdmemsstarMicrolease FinanceMVTS Technologies (Europe) LtdNTEK ConsultantsPresto Engineering EuropePulse Power and Measurement LtdRetronix Semiconductor LtdRF Global Solutions LtdRF Support LtdS3 Alliance LtdSel-Tek LtdSemi Scenic UK LtdSimple Technical Solutions (STS) LtdSiSTEM TechnologySmartest GmbHSolar Semi EngineeringSPTS TechnologiesStepper Technology LtdTeradyne LtdTetreon Technologies LtdThin Film Equipment (TFE)Tokyo Electron Europe LtdU4Global SolutionsVance Precision Technologies LtdWesterwood (WG) Global LtdWordentec

Equipment Spares,Instrumentation & Consumables

Equipment Support Services

CATEGORIES

4

Page 7: NMI Supplier Dir 2012
Page 8: NMI Supplier Dir 2012

40-30Contact: Manny ButtigeigTelephone Number: 0778 861 948Email: [email protected]: www.40-30.com

For more than 20 years, 40-30 has focused our activities in high-tech equipment to carry out maintenance and repair. Being an extraordinary company, our scope of service and maintenance covers a wide range of technologies, electronics, vacuum, gas control, RF, calibration....

However since 2006 we have undertaken significant changes to meet our customer's new strategic and economic demands.

In 2011, 40-30 was faced with a challenge and transformed the organisation into seven Business Units offering partners and clients a vast amount of knowledge, services in tems of know-how, materials, specifically designed test benches, tooling and human resources.

In addition to the Business Unit of Maintenance, 40-30's cornerstone, we have recently introduced Engineering, Spares Center, Training, International Franchise, IT and Sales Representation providing additional support to partners and clients.

Services: 40-30 is capable of servicing wet and dry vacuum pumps, turbo's, cryo's, valves, system sub components for most

process machines in 4", 6", 8" and 12".

We are experts in the field of non-destructive testing and are registered to COFREND standards. We also have leak detection repair capabilities for Adixen, Inficon and Balzer systems.

In addition we have a web based parts centre where customers can purchase, trade or even rent. All components have three months warranty and in certain cases carry a test certification.

Almond EngineeringContact: Tom McNicolTelephone Number: 01506 410880Email: [email protected]: www.almond.co.uk

Almond Engineering Limited is a well established precision engineering supplier to a comprehensive range of industries. They include pharmaceutical, medical, BME, semiconductor, electronics, aerospace, food and packaging industries.

Working in partnership with our customers, a dedicated team of engineers will manage your project from the initial concept through design, to final manufacture of a customised product.

Established customers have come to rely upon our quality, flexibility, professional service and reputation for all their manufacturing needs.

Using the latest Pro/Engineer Wildfire 3 computer aided design and off-line prismatic and surface machining, our experienced design team will produce innovative solutions for your individual manufacturing needs.

Recent investments in new CNC milling and turning centres, and off-line CNC programming has increased our capacity to service new and existing customers.

Services: CNC Milling and Turning 3D CAD Design Machine Building Replacement Machine Parts Spares and Repairs Reverse Engineering Toolmaking Welding and Fabrication Storage and Handling Systems Project Management Rotational Mould Clamps and Accessories Resolving Health and Safety Issues

6

Page 9: NMI Supplier Dir 2012

AnCuig LtdContact: Larry McGheeTelephone Number: +44 (0) 1355 581025Email: [email protected]: www.ancuig.com

Based on 25 years of experience supplying the Semiconductor Industry. AnCuig's mission is to deliver superior sales, customer service, and value adding applications engineering to Semiconductor and related manufacturers, who use speciality, production and laboratory chemistries, also cleanroom and controlled environment consumables.

We currently offer : Novel Non Particulate Precious Metal Inks Applicable Stripping/Cleaning Solutions Cleanroom Consumables High Purity Process Chemistries Service and refill (Focused ion beam ) FIB cartridges -supply an extensive range of FIB precursors/compounds

AnCuig provides quality support for global suppliers and end users on a local stage.

ASE (Europe) Inc.Contact: Charles S Chiu / Dr Bradford FactorTelephone Number: +32 2 647 8461Email: [email protected] / [email protected]: www.aseglobal.com

ASE Europe Inc. is part of ASE Group.

ASE Group develops and offers a wide portfolio of technology and solutions including IC test program design, front-end engineering test, wafer probe, wafer bump, substrate design and supply, wafer level package, flip chip, system-in-package, final test and design manufacturing services.

ASE Group incorporates multi-national production/test facilities in Taiwan, Korea, Malaysia, Singapore, China, US and Europe and sales and service offices world-wide.

Members of ASE Group include:Advanced Semiconductor Engineering Inc. (www.aseglobal.com) (TAIEX: 2311, NYSE: ASX) a leading supplier of semiconductor assembly services.

ASE Material, a leading supplier of substrates and packaging material.

Universal Scientific Industrial Inc. (www.usi.com.tw) a leading electronic manufacturing service provider.

ISE Labs (www.iselabs.com) a leading front-end engineering test company based in Silicon Valley, California USA.

ASE Europe Inc.Waterloo Office Park Building MDrève Richelle 161 Box 23B-1410 Waterloo, Belgium

7

Page 10: NMI Supplier Dir 2012

Our full listing can be found on page 9

Page 11: NMI Supplier Dir 2012

BrooksContact: Craig CooperTelephone Number: +49 (3641) 65 4000Email: [email protected]: www.brooks.com

A leading worldwide provider of automation, vacuum and instrumentation solutions to the global semiconductor and related industries. Our products and services are meeting the needs of customers across a broad spectrum of applications and industries and the global semiconductor manufacturing sector is our largest served market. When demanding productivity and availability objectives are essential factors for success, customers throughout the world turn to Brooks Automation, Inc.

Services

Market Segments:Systems Solutions - Vacuum Robots & Modules - Vacuum Systems - Flat Panel Systems - Atmospheric Robots & ModulesAtmospheric Equipment Front Ends - CMP & Wet Robots - Automation Modules including Linear Tracks, Pre-Aligners & MappersOUP Load Port Modules - SMIF Load Port Modules and Platforms - Advanced Material Handling System (AMHS)Airflow Controllers - Environmental Systems - Reticle Stockers - Reticle Macro Inspection - RFID Readers and GatewaysRFID Tracking - Customer-Designed Automation

Critical Solutions Cryogenic High-Vacuum Technology - Vacuum Measurement Solutions - Cryogenic Refrigeration Systems

Global Customer OperationsGuaranteed Up-Time Support (GUTS®) - TrueBlue® Service Agreements - Alignment and CalibrationFixed Price Repair - Spare Parts - Technology Performance Upgrades

Industry ParticipationBrooks is actively involved in the definition and on-going development of semiconductor industry standards and guidelines through its work on SEMI, SEMATECH, and 300mm industry standards committees. The Company is also a member of the Semiconductor Industry Suppliers Association.

Busch SemiconContact: David BlackTelephone Number: 01355 570201Email: [email protected]: www.busch.co.uk

Busch are a market leader in vacuum technology with many years of extensive experience in the industrial vacuum and overpressure market. Busch provides customers with advice and support from selecting the pump through to after sale service support.

Busch are a manufacturer of vacuum pumps and systems for use in the rough, medium and high vacuum markets. The company policy has always been customer orientated, supplying high quality and competitively priced vacuum pump products, as well as excellent technical support and service backup.

Based both in the UK and Ireland we have sales service centres in Telford, Congleton, East Kilbride and Dublin along with our experienced on-site field service engineers providing 24/7 support.

Our dry pump product range of reliable and robust dry screw pumps (COBRA) are the air cooled BOB version for light to medium applications, and our Cobra DS range for use in medium to harsh duty ranges and for all fine vacuum applications - semiconductor, photovoltaic's, R&D, thin film coating and MEM's.

Our vacuum pump service centres offer help and advice on all aspects of after sales care, not only for Busch pumps but also for any other manufacturer. With a first class team with over 40 years experience and the latest technology, Busch are the experts in providing solutions in the area of vacuum.

We also have experience servicing high vacuum turbo molecular and cryogenic vacuum pumps.

9

Page 12: NMI Supplier Dir 2012

Compugraphics International LtdContact: Irene McMenaminTelephone Number: +44 (0)1592-772557Email: [email protected]: www.compugraphics-photomasks.com

Shaping total, global photomask solutions Compugraphics provides integrated photomask solutions to the global semiconductor, microelectronics and optoelectronics industry from 3 manufacturing facilities: Glenrothes, Scotland, UK - Los Gatos, California, USA - Jena, Germany (since January 2011) Our reticle repair and recertification site located in Austin, Texas, provides complementary repell services.

With over 40 years of providing technical and service excellence to the semiconductor industry, we are one of the world's leading and most experienced merchant mask makers. We have a unique reputation for service and cost efficiency – and for delivering the accuracy, quality and reliability of product and service that our customers need.

From production of advanced reticles to 1X Masters, we offer the most comprehensive 'mix-n-match' flexibility within a single facility in Europe and full transatlantic manufacturing capability.

Services: Strategic and continuous investment has enabled us to meet customers’ advanced needs and contribute to their competitive edge through provision of:binary lithography - phase-shift mask technology - reticle repair and recertificationadvanced electron beam pattern generation - fast laser pattern generation near wavelength inspection strategies - 1 x photomasks from 3" to 32” 4X & 5X reticles - chrome and iron oxide copies - photomask cleaning

Markets: Semiconductor, microelectronics, optoelectronics, R&D Institutes and Universities worldwide

Coretest Technologies LtdContact: Chris RogalskiTelephone Number: 020 328 78703 Email: [email protected]: www.coretest.co.uk

CoreTest Technologies Ltd. provides Semiconductor Test Equipment to IDMs, test houses and fabless companies. We supply everything required for your engineering activities through to back end test cells. Through our global network of distributors we can supply and support customers wherever you operate.

Our products and services include: Test head manipulators - Test head dockingSignal towers, including RF - Temperature forcing systems - Test sockets & fixtures for test - High Performance test sockets, dc to 100GHz - Semiconductor ATE for digital/mixed signal and power devices Semiconductor ATE extensions - Test services, including RF - Load boards, probe cards, burn-in boards - Load board and burn-in board restoration - Oscilloscopes - Vision controlled pick and place equipment for test, sorting and packing

DeltaContact: Mohamed WahabTelephone Number: 01443 866323Email: [email protected]: www.delta.dk

Getting technology profitable

DELTA is a company with a uniquely practical determination to help you get advanced technologies working exactly as intended, and bring them to market quickly and efficiently. We're the people you contact to get complex technological challenges dealt with effectively - and within a manageable budget. Our success comes from our know-how helping others be successful.

Total transparency - Technology only earns money when it works. That's why we help you develop new solutions, test prototypes, fine-tune existing technologies and tackle thorny, unforeseen problems. All transparently and helpfully and involving you and your staff just as much as you want.

Killer know-how - DELTA consists of separate business units that specialise in electronics, microelectronics, light, optics, acoustics, vibration and sensors. We combine unsurpassed expertise in each field with top-flight interdisciplinary teams - as and when required.

In each of these fields, we're one of the most reputable development and testing centres you can find. This means we can help you pave the way to certified compliance with crucial national and international requirements, with full follow-up as and when required.

Exceptional attitude - DELTA is also one of the world's more unusual development and testing centres. The whole DELTA complex is bubbling with enthusiasm for pushing the technology envelope and tackling any challenges that arise.

10

Page 13: NMI Supplier Dir 2012

Deposition Technology LtdContact: Rob MacKenzieTelephone Number: 01548 856000Email: [email protected]: www.dep-tech.co.uk

Deposition Technology Ltd supplies and supports Varian and Novellus PVD and PECVD equipment. We will supply complete systems configured to match your specification as well as support your existing installed base. Our support includes spare parts, upgrades, PLC control systems, training, service support, process support and service contracts. Our customer base is truly global and we can support your systems regardless of location.

DfT Solutions LtdContact: Eric CormackTelephone Number: +44 (0)1329 280649Email: [email protected]: www.dft-solutions.co.uk

DfT Solutions Limited provides Design-for-Test(DfT) Training and Consultancy across IC, Board and System test to the Electronics Design communities world wide.

Eric has over 30 years of experience in the field of design-for-test. He has implemented a wide range of DfT solutions in IC, board, Soc and MCM level test. Eric started his career working with military applications for the UK MoD, implementing customised board level design-for-test solutions.

He moved on from there to establish a test services company, Testech, in the USA for his UK based company providing a wide range of services from DfT consultancy in customer designs to full turn-key packages, delivering tester hardware and test program suites in ICT and Functional Test for a variety of ATE platforms.

Eric has implemented custom scan and BIST based solutions at IC level, boundary scan at IC, SoC and board level, and has developed customised test and diagnostic solutions for MCM applications in satellite systems. Eric has over 12 years experience in the semiconductor industry working for Hitachi and Philips/NXP.

Consultancy - DfT Solutions Limited offer a range of test technology and test strategy development consultancy services tailored to solve your Design-For-Test (DFT) and production test challenges.

Contact our experts for an evaluation of your needs and honest view of how DfT Solutions Limited can assist.

Experts will work within your organisation to identify and resolve root cause issues preventing you achieving your engineering, quality or test cost goals.

DFT reviews and analysis Board Design for Testability Test strategy development Board Use of IEEE 1149.1 Design proving Board Test Development Production test SJTAG and its use in today's environment.

Dockweiler UK Ltd Contact: Steve WilkinsonTelephone Number: 01978 660330Email: [email protected]: www.dockweiler.com

Dockweiler are a manufacturer of High Purity Tube systems from Stainless Steel for Semiconductor, Photovoltaic, Biotechnology, Pharmaceutical and Food applications. We offer a range of products specially designed for your gases and fluid needs.

Product lines for gas transport systems include the Dockweiler products: ULTRON, FINETRON, TCC, TCC 1, TCC Solar, COAX and VSR80. These are available in a range of Stainless Steel material grades such as 316L, 1.4404, 1.4435 and 304L; seamless or welded; with various surface finishes, metal bright, anodic clean and electro polished.

Product lines for fluid production, filling and transport include Dockweiler products: ASME BPE, SAFETRON and WELDTRON, which are available in material grades such as 316L, 1.4404, 1.4435, 1.4539/904L and 304L; seamless or welded, with surface finishes including, metal bright, anodic clean and electro polished.

A growing part of the Dockweiler business is for our special fabrications. We can manufacture CIP lances and rings, manifolds / laterals (i.e. Complete with ball valves, tube stubs or prefabrication of components according to customers' own specification), and a range of safety Bubblers for chemical vapour deposition equipment (always with complete documentation). All are manufactured in our state-of-the-art facility located near Hamburg, Germany.

We are also in partnership with highly regarded and trusted suppliers such as Evans Components, Carten Controls and ITT Pureflo. The addition of these components in our range allows us to build a package of products for your gas or fluid requirements.

We supply to a number of requirements and standards such as: FDA, ASME BPE, DIN EN, PED or we'll be happy to work to your own specification.

11

Page 14: NMI Supplier Dir 2012

Dupont EKC TechnologyContact: Chris ReidTelephone Number: 01698 266946 Email: [email protected]: www.ekctech.com

EKC Technology is part of DuPont Electronic Technologies, a leading supplier of electronic materials for the fabrication and packaging of semiconductors. EKC is a world leading provider of cleaning solutions to the semiconductor industry specialising in the removal of resists, particles and residues.

For more information, please visit www.ekctech.com or contact us at –

EKC Technology, Ltd. c/o Du Pont (U.K.) Limited Wedgewood Way, Stevenage, Hertfordshire, SG1 4QN

Ebara Precision Machinery EuropeContact: Alex HoldingTelephone Number: 01506 460232Email: [email protected]: www.ebara-pm.eu/

EBARA Precision Machinery Europe offers state of the art products and services for manufacturing processes of the semiconductor, photovoltaic industry and related industries like FPD, LED and MEMS.Headquarters:Hanau (near Frankfurt), GermanyOffices and facilities: • Germany: Dresden • Ireland: Dublin, Lisburn Northern Ireland • France: Evry, Meyreuil, Grenoble.

• Austria: Graz • UK: Livingston,(European Overhaul Centre) Newcastle, Lincoln.• Israel: Migdal Haemeq, Kiryat Gat

We serve our customers from two sales & service divisions:Components • Dry vacuum pumps • Turbomolecular pumps • Exhaust gas treatment • Ozone and ozonized water generators • AccessoriesWafer Processing Systems • Chemical mechanical polishing (CMP) • Electrochemical plating • Ultra fine plating (bump plating)EBARA Precision Machinery Europe's reputation is based on proximity to customers, fast responsiveness, complete service coverage and its full commitment to quality and customer satisfaction.

eDEX Systems LtdContact: Gregor EganTelephone Number: 01782 597518Email: [email protected]: www.edexsystems.com

eDEX are specialist equipment designers for the medical and electronic industry. With 15 years experience working in these industries, for major blue chip clients, eDEX can provide you with a custom solution to your process problems, anywhere in the world.

Markets - Our 'Standard' products include, Manual wet chemical process stations manufactured in plastic or stainless steel. Semi automatic wet chemical stations. Fully automatic robotic controlled. Systems include, etching, plating, polishing, cleaning, coating and drying. Systems can include full PC control with FDS compliant recording of information.

12

Page 15: NMI Supplier Dir 2012

Eltek Semiconductors LtdContact: Mike JarvisTelephone Number: 07785 341322Email: [email protected]: www.eltek-semi.com

Eltek’s core skills are in procuring and processing semiconductor components in wafer, die and packaged form. This allows Eltek to solve many customer sourcing problems and add value to their own marketing and manufacturing processes.

Eltek provides cost effective solutions to critical issues such as:

Bare die procurement and qualification - Die presentation for automatic assembly Special packaging and test - Component obsolescence

Eltek maintains Quality Standards appropriate to the microelectronics industry and maintains ISO9001:2000 and BS9000 accreditation.

Engagement within the technical networks especially those related to Foundry Link (DfM, FACR).

Linking into fabless organizations.

Enterprise Q LtdContact: Dan CathieTelephone Number: 0161 777 4888Email: [email protected]: www.enterprise-q.co.uk

OverviewEnterprise Q Ltd is a Quartz glass Fabricator and Distributor headquartered in Manchester, UK. The company was established in 1996 as the authorized UK distributor for GE Quartz materials. We offer a full-scale Quartz Design & Fabrication service to our customers.

We work closely with our customers to ensure continuity of supply, short lead-times, and supplier managed inventory through local consignment stocks if required. We also perform R&D work and offer Quartz repairs, including a 24-hour emergency service.

Products & ServicesOur Quartz product range includes standard drawing parts for diffusion processes within the solar and semiconductor industry (process tubes, wafer carriers, injectors, torches, baffles, thermocouple sheaths), as well as many other one-off or made-to-measure parts for R&D or Universities.

NEW FOR 2012 – Through the support of a local UKAS certification lab, we can now also offer high quality thermocouples (new or refurbished), as well as a calibration service.

Enterprise Q Ltd has built a solid reputation on its detailed knowledge of the Quartz industry and on its flexibility and reliability.

Exception PCBContact: Anne HoldenTelephone Number: +44 (0) 1684 292448Email: [email protected]: www.exceptionpcb.com

A European leader in time critical and technology driven printed circuit board solutions. Specialists in quick-turn, high density interconnection (HDI) solutions for a wide range of industries including aerospace, medical, telecommunications, defence and automotive. A highly experienced team of design engineers work closely with OEM customers and designers to develop HDI circuit boards with micro-via technology that increasingly incorporate the latest micro BGA / packaging technologies.

13

Page 16: NMI Supplier Dir 2012

FAST TechnologiesContact: Colin SpenceTelephone Number: 02871 357740Email: [email protected]: www.fasttechnologies.com

FAST Technologies is a diversified manufacturing and service company serving the semiconductor, MEMs, Solar, PolySilicon and various other high technology industries. Part of the company's specialties include automated and semi-automated wet process systems and services for the semiconductor and MEMs industries; Solar wafer, chemical delivery systems, control automation software; industrial and process equipment and clean room safety, ergonomic and support equipment.

We are flexible in designing Wet Process equipment and providing components to enhance your process.

FEI UK LtdContact: Ed ClarkTelephone Number: 07776 162108Email: [email protected]: www.fei.com

Technology for Exploration, Discovery, and Invention

FEI is a leading diversified scientific instruments company. It is a premier provider of electron and ion-beam microscopes and tools for nanoscale applications across many industries: industrial and academic materials research, life sciences, semiconductors, data storage, natural resources and more. With a 60-year history of technological innovation and leadership, FEI has set the performance standard in transmission electron microscopes (TEM), scanning electron microscopes (SEM) and DualBeams™, which combine a SEM with a focused ion beam (FIB). FEI's imaging systems provide 3D characterization, analysis and modification/prototyping with resolutions down to the sub-Angstrom (one-tenth of a nanometer) level. FEI's NanoPorts in North America, Europe and Asia provide centers of technical excellence where its world-class community of customers and specialists collaborate. FEI has approximately 1800 employees and sales and service operations in more than 50 countries around the world.

Fluidic LtdContact: David CairneyTelephone Number: 01698 327372Email: [email protected]: www.fluidic-ltd.co.uk

Fluidic are established instrumentation suppliers with offices in Motherwell and Warrington. Instrument lines include, but are not limited to, pressure, airflow, temperature and moisture measurement along with data acquisition systems. Applications are varied, but commonly include energy efficiency monitoring, system condition monitoring and process control.

As members of the NMI, we specialise in the semi-conductor, pharmaceutical and general clean room environments. We are agents for Dwyer (including their 'Magnehelic' low DP gauge) and Ametek US Gauge (High Purity), holding stock of many products. For further information and specific product literature and advice, contact us via our website www.fluidic-ltd.co.uk or call and speak to one of our sales engineers.

Fourteen Technology LimitedContact: John DaleTelephone Number: +44 (0) 7739 985345Email: [email protected]: www.fourteentechnology.com

At Fourteen Technology we are dedicated to bringing cost saving solutions to UK and Irish semiconductor manufacturers. We work with the following suppliers who specialise in products for the wafer fab:

Applied Ceramics - Ceramic, Quartz, Silicon and Sapphire parts for etch, cvd, pvd and lithography

SPM AG - Heaters for CVD applications, ESCs, Optical parts for steppers, Refurbishment services, Reticle cases, Wafer carriers, Metal parts, Lamps, Sputtering targets and IR substrates

Applied Seals North America - Manufacturer of the new generation of elastomeric sealing for semiconductor industry

Fabworx Solutions Inc - Manufacturer of robot arm and end effector upgrades for Endura® and Centura® platforms

fluidic

14

Page 17: NMI Supplier Dir 2012

GaN Systems LtdContact: Geoff HaynesTelephone Number: 07768 316704 Email: [email protected]: www.gansystems.com

GaN Systems is a fabless semiconductor manufacturer utilising patented "island" design topologies to create cost effective, high speed, switches and diodes with exceptionally low on resistance and operating voltage and current.

The company designs, sells and markets Gallium Nitride power semiconductors and sub-systems for efficient power conversion and control applications including renewable energy generation, power storage and distribution, electric vehicles, traction, industrial motors and generators, power supplies, and point of load devices in consumer, professional, military and aerospace markets.

Global Project ServicesContact: Ray DrennanTelephone Number: 01698 744463Email: [email protected]: www.gegroup.com

A Division of Global Energy Group

Who are a global service company to various industries including power generation (nuclear, conventional & hydro), petrochemical, oil/gas, pharmaceutical & semiconductors.

Global project services – High Purity division – based in central Scotland serves markets in the semiconductor and associated sectors world wide with solutions for installation, repair and maintenance of all relative services for new tooling and ancillary equipment.

Global Project Services [GPS] shall submit fixed price costs for tool Design and Installation at the Client premises.GPS will include the supply of all labour, materials and PPE to safely complete project (s) with minimum disruption to existing manufacturing processes.

GPS shall provide Clients with a Managed Site Service capability to support their requirements.

Our primary aim is to deliver quality, and to assist the Client to attain positive production returns and safety performance through Construction, Commissioning & Testing of Site activities.

Global Project Services [GPS] shall submit fixed price costs for tool Design and Installation at the Client premises.

GPS will include the supply of all labour, materials and PPE to safely complete project (s) with minimum disruption to existing manufacturing processes.

GPS shall provide Clients with a Managed Site Service capability to support their requirements.

Our primary aim is to deliver quality, and to assist the Client to attain positive production returns and safety performance through Construction, Commissioning & Testing of Site activities.

Services - Over 20 years in design and installation of semiconductor equipment.

Additional Contacts:Jim Clark Gavin McDonaldRegional Manager Operations DirectorGlobal Project Services Global Project ServicesSuite S11, Duart House 18-21 Supply BaseStrathclyde Business Park Shore RoadBellshill InvergordonML4 3PR IV18 0EXTel: 01698 744 464 Tel: 01349 855 [email protected] [email protected]

15

Page 18: NMI Supplier Dir 2012

Global TechnologiesContact: Keith PowTelephone Number: 01506 410826Email: [email protected]:

Activities:Studies, design, manufacture, refurbish, retrofit, repair and maintenance, move and resale of Equipment or Equipment subassemblies for Semicon industries and research centres.

Facilities: Electronic workshopMechanical workshopCryo Vacuum workshop,Ferrofluids seals workshopEngineering dept

Highland ScientificContact: John O'DriscollTelephone Number: 01234 216636Email: [email protected]: www.highland-scientific.com

Highland Scientific stocks and supplies thousands of new and pre-owned spares and parts sourced globally from leading companies such as:

Applied Materials (AMAT) Firfax ASM LAM Balzers Panasert Beta Squared Perkin Elmer Eaton SVG Edwards Swiss Step ESEC Tokyo Electron

Ingenious Quality LimitedContact: Trevor GaineyTelephone Number: +44 (0) 1256 767897Email: [email protected]: www.ingenious-quality.com

We offer Quality & Reliability Management, Environmental Management and Health & Safety Consultancy to High Technology industries in the U.K. and Europe. With over 25 years in the Semiconductor and Electronics industries we have helped a variety of organisations improve their management systems and product quality at lower cost and therefore achieve higher customer satisfaction.

Please feel free to browse through our web site to see the details of services we can offer you to improve your business performance. http://www.ingenious-quality.com We are at your service to discuss how we can add value to your operation.

16

Page 19: NMI Supplier Dir 2012

Ion Beam ServicesContact: Gordon MurrayTelephone Number: 01506 633 537Email: [email protected]: www.ion-beam-services.com

The total ion implantation solution!Ion Beam Services (IBS) is an independent company providing ion implantation products and services. When you contact IBS, you can:

Rely on our Technical CompetenceAt IBS we master each step of ion implant activity. Our vertical competence ranges from the implantation of your substrate, to providing a customized ion implanter, to providing the state of the art Pulsion implant system. This means that for any required service, we master all the required steps. When we sell consumables or propose maintenance services, our expertise is proven as we are using those services internally for our implant services offering. When we sell upgrades and retrofits, we know the impact and benefits on the equipment. When we sell cleaning and refurbishment services, we first measure and prove the quality and value of the service on our own equipment.

Trust our ExperienceIBS has been in business and profitable for more than 20 years. During these years, IBS became a reliable partner not only for the leading European companies of the semiconductor industry but also the European Research Labs. Our 20 years of experience contribute to establish the IBS reputation in ion implant to validate the position of IBS as an expert in ion implant.

Count on our Expertise Our highly competent staff, composed of 70% university graduates, 10% of which are PhDs) is at your service to assist you in meeting your implant requirements. Our engineering staff continues to publish papers and articles with their latest progress and stay at the top of the latest scientific progress. This expertise is at your service: as you investigate the best technical solutions to chose, our engineers are available to consult with you at each stage of your process and help analyze and select the best solution.

Our highly skilled staff enable IBS to create and develop innovative and cost effective upgrades for your implant system.

IQE Europe LtdContact: Moz FisherTelephone Number: 02920 837500Email: [email protected]: www.iqep.com

Cardiff headquartered IQE plc is the leading global supplier of advanced semiconductor wafers with products that cover a diverse range of applications, supported by an innovative outsourced foundry services portfolio that allows the Group to provide a 'one stop shop' for the wafer needs of the world's leading semiconductor manufacturers.

IQE uses advanced epitaxial growth technology to manufacture and supply bespoke semiconductor wafers to the world's major chip manufacturing companies. IQE is unique in being able to supply wafers using all of the leading crystal growth technology platforms; MBE, MOCVD and CVD.

IQE's products are found in many leading-edge consumer, communication, computing and industrial applications, including a complete range of wafer products for the wireless industry, such as mobile handsets and wireless infrastructure, Wi-Fi, WiMAX, base stations, GPS, and satellite communications; optical communications, optical storage (CD, DVD), laser optical mouse, laser printers & photocopiers, thermal imagers, leading-edge medical products, barcode, ultra high brightness LEDs, a variety of advanced silicon based systems and high efficiency concentrator photovoltaic (CPV) solar cells.

IQE's customers are increasingly seeking to outsource their wafer production in order to reduce overall wafer costs and accelerate time to market.

IQE also provides bespoke R&D services to deliver customised materials for specific applications and offers specialist technical staff to manufacture to specification either at its own facilities or on the customer's own sites. The Group is also able to leverage its global purchasing volumes to reduce the cost of raw materials. In this way IQE's outsourced services, provide compelling benefits in terms of flexibility and predictability of cost, thereby significantly reducing operating risk.

IQE operates four facilities in the UK, two in the USA and one in Singapore and also has 11 sales offices located in major economic centres worldwide. For further information visit www.iqep.com.

ion beam services

ibsibs

17

Page 20: NMI Supplier Dir 2012

ion b

eam

serv

ices

ibs

ibs

the t

ota

l im

pla

nt

solu

tion

foundry

ion im

pla

nt

R &

D ion im

pla

nt

mic

rote

chnolo

gy

tech

nic

al su

pport

equip

ment

sale

s

spare

s

ass

em

bly

& e

lect

ronic

repair

surf

ace

tre

atm

ents

foundry

ion im

pla

nt

R &

D ion im

pla

nt

mic

rote

chnolo

gy

tech

nic

al su

pport

equip

ment

sale

s

spare

s

ass

em

bly

& e

lect

ronic

repair

surf

ace

tre

atm

ents

Our full listing can be found on page 17

Page 21: NMI Supplier Dir 2012

Jaltek Design ServicesContact: Main OfficeTelephone Number: +44 (0) 1582 578170Email: [email protected]: www.jaltek.com/default.asp

Jaltek IDMS (Integrated Design & Manufacturing Services) is a leading international electronic manufacturing & design service provider offering a full turnkey capability in the design, development and manufacture of complex electronic products, systems and assemblies.

From pcbs to complete systems, Jaltek offers expertise in the specification, design, manufacture and test of complex surface mount assemblies and embedded systems. We are particularly experienced in the design and manufacture of rugged products for use in harsh operating environments. Our careful attention to design methodology and component selection maximises the life cycle of you product and minimises early obsolescence.

• Concept design • Product design • New Product Introduction • Manufacture and test • Through life product support

Logos Logistics LimitedContact: William DevineTelephone Number: 0845 838 7540Email: [email protected]: www.logoslogistics.co.uk

If you operate a modern, fast, progressive and effective organisation where machine moves are essential then Logos Logistics is a company formed specifically with you in mind.

Established in 2003 Logos brings together the management and operational skills of William Devine and John Summers who together with our experienced Service Team provide a tailor made removal or relocation service to companies with a need to move heavy, awkward and sensitive equipment.

We offer an efficient, effective, professional service to ensure total customer satisfaction and minimal downtime or disruption to your business during machinery movement.

Contacts: - William Devine 07730578669 : John Summers 07730568685

Loughborough Surface Analysis LtdContact: Mike PettyTelephone Number: 01509 260779Email: [email protected]: www.LSALtd.co.uk

History - LSA is well established and well known in the surface analysis community. The company was founded in 1997 by Alison Chew, Mike Petty and David Sykes with a vision of creating a contract analysis facility able to apply surface chemical analysis techniques to the widest range of technological problems.

Experience - The company's staff are experienced at working with a wide range of industries and assisting with: failure analysis, quality control, materials characterisation, reverse engineering, contamination identification, research and development, process matching

Applications - The list is almost endless, typical projects cover: semiconductors, electronics, metals, corrosion, glasses,

adhesion, polymers, coatings, concretes, staining

LSA provides a responsive, confidential, professional contract surface analysis service to industry and universities.

19

LSA

Page 22: NMI Supplier Dir 2012

LTX-Credence CorporationContact: Jan PeetersTelephone Number: 0032 15640582 / +32 475 919034Email: [email protected]: www.ltxc.com

LTX-Credence is a global provider of semiconductor test solutions designed to deliver value through innovation enabling customers to implement best-in-class test strategies to maximize their profitability. LTX-Credence addresses the broad, divergent test requirements of the wireless, computing, automotive and entertainment market segments, offering a comprehensive portfolio of technologies, the largest installed base in the Asia-Pacific region, and a global network of strategically deployed applications and support resources. Additional information can be found at www.ltxc.com.

Lynbond 2000Contact: Frank KuhlTelephone Number: 01707 259996Email: [email protected]: www.lynbond2000.com

Lynbond 2000 focuses on providing high quality and cost effective non-branded products. We offer a wide range of consumable items for use in controlled environments, such as hi-tech manufacturing operations and research laboratories. Examples of the cleanroom product range includes: Non-woven wipers from class 1 (compatible) to class 1000, Facemasks, Tacky mats, Gloves from class 10 to class 1000, Conductive and anti-static assembly gloves, Writing paper and notebooks, Cut resistant gloves, Cleaning/mopping systems, Finger cots, Coveralls and lab coats (polyolefin and polypropylene), Launderable cleanroom garments (from class 1), Mob caps, Safety glasses, Over shoes and over-boots, Swabs, Clean room pens

Large stocks are held at Welwyn Garden City, which can be delivered in the UK within 24 hours.Long term customers benefit from consignment stock agreements.

European Offices: Italy : 0039 03 21 45 46 38 - Hungary: 0036 30 66 32 960

20

Page 23: NMI Supplier Dir 2012

Our full listing can be found on page 20

Page 24: NMI Supplier Dir 2012

M + W GroupContact: Ewing ThomsonTelephone Number: +44 (0) 1249 455150Email: [email protected]: www.mwgroup.net

M+W Group is a recognized global project partner for technology-based clients in the segments electronics, photovoltaics, life sciences, chemicals, science and research, energy, automotive, IT and telecoms, aerospace and defense.

Our integrated business activities are focused on three sectors: Facility Solutions - Process Solutions - Product Solutions

M+W Group's success in the electronics industry is rooted in the engineering, construction and maintenance of semiconductor production facilities. With over 4 million square meters of production area designed and installed for semiconductor, equipment manufacturing, disk drive, and flat panel industries, M+W Group are one of the global leaders in technically challenging projects for the electronics industry.

From concept development to turnkey services, M+W Group have the proven ability to manage your complex projects, ensuring flexibility, rapid realization and cost-effective completion. Our strength is the ability to provide the full range of services – all within a single firm.

That is why customers around the world value us as a professional partner.

Contacts & Additional SitesEwing Thomson – Business Development ExecutiveRoy Andrade – Head of Design and Business DevelopmentCharlotte Storer – UK Marketing Manager

M+W UK M+W Ireland M+W UKCentre for Advanced Industry 1A Sussex Terrace 55 Colville Place Royal Quays, North Shields Dublin 4 Kelvin Industrial EstateTyne & Wear, NE29 6DE Ireland East KilbrideTel: +44 191 272 8937 Tel: +353 1 664 3445 G75 0PZ Fax: +44 191 272 8835 Fax: +353 1 664 3445www.mwgroup.net www.mwgroup.net

Maser EngineeringContact: John WatkinsTelephone Number: 01367 252 265Email: [email protected]: www.maser.nl

MASER Engineering, founded in 1993, is an independent engineering service company operating in the semiconductor and electronic systems industry.

Reliability Test and Diagnostic services are offered to a wide range of customers that are active as Fabless Semiconductor or Integrated Device Manufacturers, automotive electronics supplier, Telecom and ICT application specialists, Industrial and Medical electronic system manufacturers or in Aerospace and Space applications.

The product range starts at single component level and expands to complete electronic systems. We offer our Test and Diagnostic services from our main laboratory in Enschede, The Netherlands. Our knowledgeable staff of engineers and technicians is working in close cooperation with the engineers of our customers to enable an efficient work flow with optimal results.

22

Page 25: NMI Supplier Dir 2012

Our full listing can be found on page 22

Page 26: NMI Supplier Dir 2012

Megatech LtdContact: Peter WhiteTelephone Number: 01543 500066Email: [email protected]: www.megatechlimited.co.uk

Megatech Limited is the largest independent supplier of equipment and services to the semiconductor and thin film industries in the UK. Established in 1973, the company has built a well-founded reputation for the supply of quality products, in-depth technical expertise, and excellent customer service.

As an exclusive UK outlet for a number of US and European companies, Megatech sells to end users, researchers, and OEMs as well as supporting all products with both field and in-house service.

Megatech have a modern headquarters in the Midlands with engineers located strategically around the country.

memsstarContact: Bruce DicksonTelephone Number: +44 (0) 1506 409172 Email: [email protected]: www.memsstar.com

Semiconductor and MEMS equipment supplier for Etch and Deposition processes

Founded in 2003, memsstar Limited is Europe's premier supplier of Wafer Processing Technology based upon fully guaranteed and proven industry standard tools. memsstar delivers high quality equipment and process solutions to customers who develop and manufacture microsystems including semiconductors and MEMS.

memsstar has a strong reputation as a partner and supplier, and delivers the highest quality and capability possible. Customers get a total equipment and process solution including performance guarantees and excellent service. Our core disciplines are on Novellus, Applied Materials and Lam Research toolsets for etch and deposition processes in addition to our own memsstar product range of wafer processing equipment. Our strategy is to innovate and reliably deliver technology and systems projects to our customers, backed up by the best customer support. memsstar is an accredited ISO9000 company.

Metryx LimitedContact: Mark BerryTelephone Number: +44 (0) 1275 859988Email: [email protected]: www.metryx.net

Metryx develops and manufactures advanced metrology systems based on mass metrology. Mass change provides a direct, passive and rapid measurement of process change in a semiconductor process, regardless of whether it is an additive or subtractive process. The process change will manifest as either a single excursion, or a drift and will allow process engineering and excursion management decisions to be made and rapidly implemented. Because mass measurement takes place on product wafers it enables the reduction of non productive test wafers, and provides information quickly on process excursions reducing risk.

Metryx has a worldwide customer base including 300mm, 200mm and 150mm fabs in Europe, US and Asia. Metryx tools are in use on high volume applications in high volume productions fabs as well as in multi application use in smaller fabs.

Metryx has achieved recognition in this highly competitive industry with awards including Semiconductor International Best Product Award, Eurasia Semiconductor Yield Enhancement Award, and two Queens Awards for International Trade and for Innovation.

Metryx is staffed by semiconductor industry professionals from the fields of equipment engineering, process and applications development, and equipment sales and service.

While many of our customers are high volume 300mm fabs, our metrology technique can offer some real cost savings to the mature 200mm fabs that are operated by many of NMI members. These fabs are often running at close to capacity and cost savings represent direct improvements to profitability. Metryx is working with a number of similar companies on activities to reduce test wafer consumption, as well as increased process monitoring to help reduce reliance on slower, more expensive analytical metrology.

Additional contact names: Dr Adrian Kiermasz, CEO - [email protected] Gary Ditmer, Business Development Director, Asia - [email protected]

Metryx

24

Page 27: NMI Supplier Dir 2012

Mfg Vision LtdContact: John O'DonnellTelephone Number: 00353 61309745Email: [email protected]: www.mfgvision.com

The only European provider of purely web-based, integrated Data Analysis and Yield Improvement Systems for the Semiconductor and CPV Solar industries. We have developed on-line versions of all popular and advanced product and test engineering tools with the ability also to output to Excel and JMP. We can host or you can host the data.

All reports and analyses are shareable on-line in one click with your colleagues. New data is processed automatically on the server which can issue alerts based on your rules for yield anomalies and unexpected bin/parametric performance, including outliers. We have customers in Taiwan, North America, UK, Ireland and mainland Europe.

Microlease FinanceContact: Nigel BrownTelephone Number: 020 8427 8822Email: [email protected]: www.microlease.com

The purchase and resale of electronic test and measurement equipment.

Micross ComponentsContact: Andrew LangfordTelephone Number: +44(0) 7967 079248Email: [email protected]: www.micross.com

Micross Components Ltd are one of the UK's leading suppliers of semiconductor components to Hi-Rel industries, having serviced the avionics, military and space markets wordwide for more than 40-years. Our expertise spans tailor-made design solutions for the assembly of micro-electronic devices, ASIC design and build, comprehensive electrical and environmental test and screening, counterfeit detection and long-term storage. We have extensive capabilities in value added services including BGA re-balling, lead-attach, solder exchange, automated tin dipping and in PCB re-work.

Multi-Lab LtdContact: Gordon WhyteTelephone Number: 01355 244456Email: [email protected]: www.multi-lab.co.uk

Multi-lab QuartzTec Ltd is a supplier of fabricated quartz glass, thermocouples and advanced ceramics.

We are the largest quartz fabricator in the UK employing around 70 staff. Our flagship fabrication facility in East Kilbride, Scotland was bought from Heraeus quartz two years ago. This facility is one of the most advanced quartz fabrication factories in Europe. Our head office is in Newcastle, England. This also has a sizable manufacturing infrastructure for quartz and ceramic component manufacturing. Our quartz raw material comes from either Heraeus or Momentive.

We fabricate quartz ware for most OEMs including, Aviza, ASM, Kokusai and Tel, and build for furnaces using from 3” to 12” wafers. We can also supply quartz tanks for wet etch applications and parts used in dry etch / sputter etc.

Our product offering includes quartz repairs, technical support, cost of ownership support programmes and quartz parts cleaning.

Our thermocouple product line allows us to provide new, new for old and full calibration services. All our T/Cs are provided with UKAS certification.

With regards to high purity technical ceramics we currently supply to our customers 99.7% Alumina, Silicon Carbide, Sapphire and PBN (pyrolytic boron nitride) tubes and components for ever demanding environments.

All these materials are machined with in our fully equipped CNC facility at East Kilbride.

One of the Multi-lab group strengths is holding extensive raw material stocks so we are able to meet the most challenging delivery schedules.

We currently give Technical support and welcome R & D involvement in connection with any of our product range.

Our products are supplied into markets including Microelectronics, Photovoltaic and life Sciences.

25

Page 28: NMI Supplier Dir 2012

MVTS TechnologiesContact: Duncan SelfTelephone Number: 01256 383450Email: [email protected]: www.mvts.com

MVTS Technologies provides premier equipment, service and support solutions to manufacturers worldwide. The company delivers and supports refurbished systems, upgrades, enhancement products, manufacturing, engineering services and supply chain solutions in more than 15 countries through its global organisation and infrastructure. The company employs an unprecedented business model of developing flexible partnerships with OEMs to provide customers with seamless support across multiple platforms.

MVTS’ European operation provides turnkey engineering services, applications development, field service and spare part services including consumables. The European Test Application Centre (TAC) features a highly trained and experienced engineering team, and availability of test systems to deliver development services to the European semiconductor market.

Founded in 1994, MVTS is privately held and headquartered in Carlsbad, California, USA.

Nanoscope Services LtdContact: Alan MillerTelephone Number: +44 (0) 117 9576225Email: [email protected]: www.nanoscopeservices.com

NanoScope offers commercial SEM TEM and FIB lab services for the semiconductor, materials science and Nano-research industries.

We specialise in quick turn-around and complex modifications to advanced semiconductor devices (copper), to prove design changes and correct functionality on 1st silicon devices.

We also provide QA, failure and materials, analysis including site specific TEM sample preparation, extraction and analysis for the highest resolution materials and metrology studies.

Our complimentary services include Optical microscopy, CSAM and X-ray analysis of packages, decapsulation, SEM and TEM imaging and analysis, glob-topping and other techniques such as SIMS, EDS etc.

Principle geographic areas served: Europe

Nor-Cal LtdContact: John JudsonTelephone Number: 01666 861221Email: [email protected]: www.norcaluk.com

Nor-Cal manufacture a wide range of high and ultra high vacuum components including fittings, isolation and throttling valves, foreline traps, heaters and custom parts. Nor-Cal UK is in its 12th year in the UK having previously worked via distributors for some 10 years. Although 'Nor-Cal' is almost a household name in the semiconductor sector, we work hard to maintain our reputation for quality, response and competitiveness. We hold substantial stocks to offer a fast response service where required and can deliver overnight anywhere in the UK. We offer technical support and a custom part service for any special, non-catalogue requirements. Our sales team has more than 30 years experience in the vacuum industry and can recommend solutions to improve throughput and reduce downtime.

NanoScope Services Ltd.NanoScope Services Ltd.

26

Page 29: NMI Supplier Dir 2012

NTEK ConsultantsContact: Gordon MacIntyreTelephone Number: 0141 778 3619Email: [email protected]:

NTEK was started in January 2003 by former Nikon Tech Support and Senior Engineers to decom, install, refurbish and service Nikon stepper and scanner systems from G6 – S204.

This started off as a European operation and very quickly turned into a worldwide organisation due to the demand for the services.With the addition of more former Nikon engineers the company can now support from G6 – S307 as the company has 8 engineers of which 4 are based in the USA and 4 in the UK and Ireland.

NTEK has a very good working relationship with all the main brokers and can complete full turn key solutions for the customers on any of the models we support.

We also have access to a state of the art 4000 sq/ft class 10 clean room in San Jose, California to do all its Nikon refurb work but can get access to similar facilities in Asia and Europe if required.

Due to all the refurb work we have done we have all the Nikon I line optics from i8 to i14 including all the ceramic arms and chucks for these tools.

We also have an extensive i14 stepper parts inventory along with parts for many other tools.

OM Group Ultra Pure ChemicalsContact: John HarriesTelephone Number: 01773 844200Email: [email protected]: www.omgi.com

High tech solutions for semiconductor and microelectronics industries

The business of OM Group Ultra Pure Chemicals (UPC) produces and supplies unique ultra pure chemical solutions to the semiconductor and microelectronics industries from our sites in Asia, Europe, and the United States. Alongside and in support of our excellent chemicals OM Group UPC provides world class chemical management and Analytical services.

Our chemicals are used by leading edge producers of Semiconductor Wafers, Semiconductor Devices, MEMS manufacturers, wafer reclaim companies, Solar Cells and Photomask companies. The chemicals are also used in the Plastic Electronics industry, the production of pharmaceuticals and other high tech industries, in which there is a requirement for high quality, security of supply and innovation.

Customer focus and building strong long-term relationships has always been one of our strengths and the management team and operational personnel have a wealth of experience and skill sets to ensure that we have satisfied customers. We offer an open approach with excellent customer service, technical support and innovation.

The acids, bases, solvents, developers and bespoke mixtures we supply meet all the cleaning, etching, stripping and photolithographic needs of the semiconductors industry. Our production sites utilize the industry quality standards including ISO9001, TS16949 & ISO17025. Another key focus area for our business is Health, Safety and the Environment with the manufacturing sites all accredited to ISO14001 and OHSAH 18001.

OM Group Ultra Pure Chemicals supply customers with consistent quality, cost effective processing solutions and world class service to meet the demands of tomorrow's technology.

27

Page 30: NMI Supplier Dir 2012
Page 31: NMI Supplier Dir 2012

OptocapContact: Stephen DuffyTelephone Number: 01506 403566Email: [email protected]: www.optocap.co.uk

Optocap Ltd is an Assembly and Packaging Subcontractor for the Microelectronics and Optoelectronics industry. Optocap provides advanced packaging solutions to a world-wide client base of blue chip multinationals and leading edge start-ups.

Optocap's Value Proposition offers our clients a number of advantages including; Reduced Time to market Reduced Technical risk Reduced Product Development and Manufacturing costs Pure-Play Supplier – Optocap does not compete with its customers

Optocap's services include: Package design, modelling and verification Prototype and Pilot assembly New Product Introduction Automated volume manufacturing process development Process transfer Volume sub-contract manufacturing Supply chain management Environmental testing to Telcordia, JEDEC, IPC standards Device testing and characterisation Failure Analysis and Corrective action support

Optocap utilizes its capabilities and know-how in a wide variety of opto and microelectronic assembly platforms including;

Opto High powered laser diodes / Fiber coupled modules / Sensors/Displays / TOSA/ROSA's / LED modules

Micro Fast-Turn IC assembly / Flip chip assembly / System-in-Package (SiP) assembly / CMOS sensor assembly RF/MMIC module assembly / MEMs

Optocap also offer a range of custom packaging and assembly options.

Pfeiffer VacuumContact: Graeme SpeirsTelephone Number: 01506 41800Email: [email protected]: www.pfeiffer-vacuum.net

The Adixen product offering includes: vacuum pumps, leak detectors and micro machining systems. These innovative products are used by industry leaders in the semiconductor, instrumentation, research and development, and industrial markets.

Today, Alcatel Vacuum Technology is the third largest supplier of vacuum technology to the semiconductor industry. The company has reached this strong position thanks to the high value of its product portfolio. All major integrated circuit manufacturers today use the company's Adixen dry pumps, Maglev turbo pumps and helium leak detectors, with their unique data logging capabilities. Adixen Maglev Turbo pumps are designed for integration in the process chamber, where high levels of throughput are increasingly required. Alcatel Vacuum Technology's latest addition, the Adixen A300 offers exceptional performance in a compact footprint, using Alcatel Vacuum's proven multi-Roots technology.

Renowned for its expertise in rotary vane pumps, hybrid turbomolecular pumps and helium leak detectors, Alcatel Vacuum Technology has developed a complete series of hybrid turbo pumps and small dry pumps that are particularly adapted to industrial and instrumentation and R&D markets. These fast-evolving markets have chosen Alcatel Vacuum Technology's hybrid turbo pumps for their high flow performance, reliability and the exceptional technical and application support for both retrofit and new processes. In the instrumentation market, the company is able to respond to the most demanding customers, thanks to the development of ATH and the ACP series of pumps. Alcatel Vacuum Technology also offers the widest range of helium leak detectors to suit any type of tightness control requirement.

30

Page 32: NMI Supplier Dir 2012

Our full listing can be found on page 32

Page 33: NMI Supplier Dir 2012

Phasix ESDContact: Carl SimonTelephone Number: 01420 565634Email: [email protected]: www.phasix.co.uk

Driven to serve our customers’ need for rapid, accurate and comprehensive test results Phasix is a growing semiconductor test and analysis outsource partner.

Located in Hampshire, Southern England, our experienced team is waiting to provide you with a wide range of ESD testing services.

Phasix ESD focuses on Semiconductor Quality and Reliability, helping our customers ensure their products are sufficiently robust to guarantee reliability in their intended end-use and offers….

• A full suite of ISO accredited testing services which includes HBM, MM, CDM and Latch Up with optional I-V Curve Tracing• A highly responsive and flexible service with 5 days-or-less guaranteed turn time, from receipt of samples to delivery of results• The maximum useable information about the ESD sensitivity of your devices• On site service, repair and calibration of your in-house ESD test equipment• Sound advice on the selection of appropriate testing standards and methodologies when you need it

PhotronicsContact: Rob LloydTelephone Number: +44 (01656) 662171Email: [email protected]: www.photronics.com

Photronics is the industry leader in the design, development and production of reticles and photomasks for semiconductor and microelectronic applications. Established in Connecticut in 1969, the Company became a publicly-held corporation in 1987 and today operates nine manufacturing facilities around the globe.

Plaspro GmbHContact: Malcolm MacphersonTelephone Number: 01698 329507Email: [email protected]: www.plaspro.ch

Plaspro are a representative and distribution company which brings new technology, and supports older generation equipment, for the semiconductor and MEMS industries.

Presto Engineering EuropeContact: Dennis NyeTelephone Number: 0044 7799 587 913 Email:Website: www.presto-eng.com

Presto Engineering, an ISO 9001 company, delivers integrated test and product engineering solutions to IDM and fabless companies. Operating from hubs in Europe, Israel and Silicon Valley, our business is focused on helping to improve the speed and predictability of new product releases. Presto combines unique technical expertise, extensive industry experience and leading edge ATE for SoC and RF, along with reliability, FIB, FA/FI services to offer a complete product engineering solution designed to complement our customer's internal resources.

The Presto Hubs offer customers the ability to use high frequency test and measurement equipment, as well as leading edge RF-ATE from LTX-Credence, Teradyne, and Verigy. Presto technical staff also assists with test program development, probe card and load board development as well as automatic handler support.

Additional Hub services offered by Presto include mid-volume, pre-OSAT test production and turn-key services, including qualification, characterization, failure analysis, production transfer and monitoring, all the way to wafer-to-end-customer back-end solutions.

[email protected]

PHOTRONICS

32

Page 34: NMI Supplier Dir 2012

Pulse Power and Measurement LtdContact: Phil SurmanTelephone Number: 01793 784 389Email: [email protected]: www.ppm.co.uk

PPM Design and manufacture Fibre Optic Links for fast pulse and mid band measuring as well as RF over fibre applications.

We are also a specialist representing company providing product for Power Electronics, Pulsed Power, High Voltage and Test and Measurement Applications.

We particularly specialise in power components which include Power Semiconductors, Power Resistors and Power Capacitors for Power Electronics applications.

Power Electronics Software and Real Time Power Electronics digital emulations equipment used in power electronics development is a key part of our product offering.

Pure WaferContact: Keith BakerTelephone Number: +44 (0) 1792 311 200Email: [email protected]: www.purewafer.com

Pure Wafer is a worldwide leader in the provision of wafer reclaim services. These services enable semiconductor manufacturers to gain further efficiencies through the increased re-use of silicon test wafers within their production processes.

The Company has an established track record, one of the world's most advanced wafer reclaim facilities, and has a "blue chip" customer base that includes most of the world's largest semiconductor manufacturers and independent foundries. As a business partner, Pure Wafer works with its customers to design and develop a service that delivers reclaimed wafers to the exact specifications and high standards required by today's leading semiconductor manufacturers.

In 2009, Pure Wafer , Swansea began manufacturing Monocrystalline Photovolatic Cells based on recycled Silicon. Industry-leading efficiencies have been achieved using advanced production methods that dramatically reduce the volume of carbon-based energy required to generate each Watt. The process, developed in conjunction with Swansea University has opened unique channels for local sourcing of carbon-reduced Photovoltaic Roof Modules and Photovoltaic Cells.

Pure Wafer services its customers from its state of the art, purpose build facilities in Swansea, South Wales, UK and Prescott, Arizona, USA.

Reliability Consultancy LtdContact: Stephen MeatsTelephone Number: +44 (0) 1794 321105Email: [email protected]: www.rcl-reliability.com

RCL is a consultancy and training company for reliability in electronics. We work with IC designers and manufacturers to help achieve world class reliability for applications including automotive and telecommunications.

Custom training is offered in all aspects of reliability including Design for Reliability (DfR). We provide troubleshooting with design issues such as ESD weaknesses. Our approach to reliability assurance takes account of the “real world” operating conditions of the end product.

We are actively involved in bridging the gap between design and manufacture, providing support throughout the product development cycle from the study and design phase through to customer issues with the final product.

33

Page 35: NMI Supplier Dir 2012

r e l i a b i l i t yS O L U T I O N S

Your product reliability solution is a key component of your overall business profitability;You will expect therefore a partner who has nothing less than international industry leading experience coupled with the knowledge that comes with dealing with some of the worlds leading manufacturers. Names such as Samsung, LG, LiteOn, Phillips, AOC, Acer, Samsung, AOC, Fairchild Semiconductor, Melexis and many more. The Director Martin Shaw brings 30 years experience to his clients, serving a wide range of clients in various sectors throughout the world.

We know we have unique methods in approach, methods that are not being communicated by other industry players, but are available and will deliver a significant improvement in your reliability as well as an improvement in profitability. His involvement in Taiwanese and Chinese based companies has enabled him to develop rapid improvement solutions for some of the fastest growing companies in these regions, including LiteOn, AOC, Acer, Amtran, Heng Sheng Optoelectronics, Samsung, etc.

Any company who operates in the electronic manufacturing industry understands that a reduction in the cost of failure leads to a significant impact on profit margin. They also understand that reliability improvement training in isolation is not sufficient in today's modern and fast moving environment. That is why Reliability Solutions have developed a range of packages that offer a more practical integrated solution.

Do you spend too much money on Reliability Testing that rarely finds defects?

Have you been using the same Reliability Test Programme for many years and are not sure what to change to improve your methods?

Do you lack confidence in the Design Quality / Reliability levels of your New Product?

Are your customers complaining about the number of your products, which Fail under Warranty?

Reliability Testing Methods

At Reliability Solutions, we assess Supplier Capability to achieve World Class Quality and Reliability Levels.

If your answer to any of the Questions below is YES, then you should contact Reliability Solutions on 00-44-7967-144097 or visit the website,

for more information.www.reliabilitvsolutions.co.uk

Our full listing can be found on page 35

Page 36: NMI Supplier Dir 2012

Reliability SolutionsContact: Martin ShawTelephone Number: 07967 144 097Email: [email protected]: www.reliabilitysolutions.co.uk

Reliability Improvement Consultancy and Training in the Electronics IndustryFocuses on Component and Product Reliability Improvement for a wide range of major companies across Europe and Asia

Specialises in

1. Reliability prediction and Modelling Real Life Failure rates from Accelerated testing 2. Reliability Improvement Training via 2 day intensive training seminar programme 3. GAP analysis and Reliability Improvement Project definition 4. Design Quality Improvement 5. Component Reliability Improvement and Qualification 6. Supplier Quality Improvement and effective management

ReltechContact: Mark AshleyTelephone Number: +44 (01453) 541211Email: [email protected]: www.reltech.co.uk

The Reltech Independent Test Laboratory (ITL) provides global Semiconductor Companies with Full Turn-Key solutions, performing reliability, qualification, and environmental testing on semiconductor devices of the very latest technologies.

Reltech prides itself on its 35 years existence within the semiconductor reliability market and applies its wealth of experience in life test system manufacturing and semiconductor device testing, to support its customers through the critical qualification stages prior to the launch of a new product. Reltech works closely with its customers all the way through the qualification process. This includes the development of a Qualification Plan, specifying the most effective and practical test strategy, designing and manufacturing bespoke test hardware, developing test software and performing the qualification tests within its spacious laboratories using advanced test equipment that is maintained, calibrated and operated by a highly trained team of test professionals working to established test standards and proven procedures accredited by UKAS to ISO17025:2005

Reltech provides the following Semiconductor Reliability and Qualification tests services:

Planning, and Development: Qualification Planning, Biased Life Test strategy development, Life Test Hardware design & manufacture, Life Test Software development

Qualification Testing: High Temperature Operating Life (HTOL), High Power HTOL >30W/DUT, Early Life Failure Rate (ELFR), Burn-In, Preconditioning (PC), Temperature Humidity Bias (THB), Highly Accelerated Life Test (Biased HAST), UHAST (unbiased HAST), Low Temperature Operating Life (LTOL), Temperature Cycling (TC), High Temperature Storage (HTS), Test Programme Development, ATE Functional Test (Read Point Test)

Design, Manufacture and Supply: Advanced HTOL Test Systems, HTOL Boards, Burn-In Boards, HTOL Driver Cards, HAST Boards, HTOL Driver Cards, Burn-In Test Sockets – for all package outlines >0.35mm pitch

35

r e l i a b i l i t yS O L U T I O N S

Page 37: NMI Supplier Dir 2012

Retronix LtdContact: Mark DiamondTelephone Number: 01236 433345Email: [email protected]: www.retronixsemiconductor.com

Retronix, Established in 1992, has extensive experience in providing internal and external "Engineering Solutions" to Semiconductor customers worldwide. Retronix provides low-cost, high value added operations and engineering services and products to the Semiconductor industry. Retronix Semiconductors is headquartered in California with an operations facility in Mesa, Arizona, with a European Operations facility in Coatbridge Scotland, Maynooth Ireland and Shanghai in China.

Retronix Group globally employs 650+ world-wide. Client list includes major semiconductor device manufacturers, used equipment brokers, and research and development companies. Retronix has global service agreements with major tier-1 IDM's.

Retronix Semiconductor is one of the most innovative and effective suppliers to the Semiconductor industry. We offer a unique set of services aimed at reducing manufacturing costs and improving production efficiency. Services vary from complete wafer fab relocations to recycling non-conforming components and we specialize in applying technical solutions to keep our customers competitive. Retronix Semiconductor offers innovative and unique cost reducing services to OEM and CEM companies, worldwide and we fully engage in our customers' continuous improvement programs (CIP) and break complex maintenance down into manageable modules. Retronix drives reproducibility and eliminates unnecessary sources of variation to vastly improve the efficiency of our customers maintenance operations. We pride ourselves in having secured partnerships with all the major Blue Chip Electronics Manufacturing Companies, contributing to the cost reductions that allow them to impact in competitive tendering in a cost conscious global economy.

To compliment Retronix Semiconductor contract services and refurbishment operations, we have a state of the art RF and PCB repair lab. Retronix offers repair and reconditioning services across a wide range of RF circuitry, RF subsystems and RF matching units. We also offer PCB diagnostic and repair services. Retronix has 16 years experience in PCB repair and has world class capabilities to engineer diagnostic maps and repairs for almost any PCB. Retronix has PCB repair facilities throughout Europe, North America and Asia.

RF Global Solutions LtdContact: Ian StuartTelephone Number: 01355 236392Email: [email protected]: www.rfglobalsolutions.co.uk

RF Global Solutions provides low cost RF repairs and refurbished RF equipment into the Semiconductor and Medical industry, now an internationally recognisable brand with customers utilising our services from all across the globe. We are constantly assessing the latest RF technology on offer supported by our representatives all over the world. We then design, repair, install, service and train to all the quality standards you'd expect, ensuring our customers get the local service they are familiar with, backed by the reassurance of an international RF leader.

ServicesRepairs - We repair most RF power supplies and matching units, even if your equipment is not commonly used or industry

standard. All RF repairs and refurbishments are backed by our second to none warranty which can also be tailored/ extended to meet your particular requirements.

Sales - RF Global Solutions carries a vast array of refurbished equipment available to purchase when required ensuring you continue to maximise production output at all times. All equipment is supplied in a fully refurbished condition complete with a warranty and service documentation.

Upgrade - From time to time we notice that some OEM standard units develop common faults which can result in the equipment failing, where relevant we will offer to upgrade the original components with more reliable/ longer lasting alternatives at no extra cost! This improvement work will always be documented on the relevant paperwork.

Our service engineers are skilled and proud of the work that they do. Some joined us through the RF Global Solutions apprenticeship scheme and have been with us for many years. They share a commitment to keeping their skills up to date with regular in house training at our East Kilbride Head Quarters.

Some of the units we provide a repair service for

Adtech – Advanced Energy – Applied Materials – Colpit – Comdel – Daihen – Henry – LAM – Mattson – MKS -Novellus – RFPP – RF Services – Sebra – Seren – SET – Tegal – Trazar

www.rfglobalsolutions.co.uk tel +44 (0)1355 236392 fax +44 (0)1355 590004 [email protected]

36

Page 38: NMI Supplier Dir 2012

Our full listing can be found on page 36

Page 39: NMI Supplier Dir 2012

RF Support LtdContact: John FrewTelephone Number: 01355 225220Email: [email protected]: www.rfsupport.com

RF Support provides an excellent cross platform service on all RF generators and matching networks used in the plasma processing industries. We are able to offer a one-stop solution to RF equipment problems. The company repairs a range of differing OEM's equipment, including Applied Materials, LAM, Mattson, Matrix, Tegal, Advanced Energy, ENI, Comdel and Trazar.

Processes are all designed around ISO9001 ensuring you have complete confidence in each repair. Our excellent reliability record is constantly being monitored to maintain standards.

We offer full trace-ability of equipment from delivery, through the repair process and back to Stores Dept. All this provided 24hrs via a secure individual Customer Zone web portal.

Repairs are all supported with a RTB warranty. Repairs can also be configured to suit individual fab requirements.

RF Support was established in 2003 to be a leading RF Repair centre for semiconductor plants. The pressure on fabrication plants to examine costs led the company to establish a total quality approach.

It is acknowledged that the major cost involved in unplanned RF equipment failure is not the actual repair cost, that remains with lost wafer processing time. To that end we set in motion a quality system to significantly improve the reliability of equipment.

It is much more cost effective to have highly reliable quality repairs. We set out to achieve this while continuing to trim all margins to ensure our customers can maintain their cost effectiveness.

RoodMicrotecContact: Reinhard PuschTelephone Number: 0049 711 867090Email: [email protected]: www.roodmicrotec.com

With over forty years of experience as a value-added service provider, RoodMicrotec has established a very strong position in the microelectronics and optoelectronics industries in Europe. RoodMicrotec's one-stop services include failure & technology analysis, qualification and burn-in, test & product engineering, production test, device programming, end-of-line service, reliability engineering – ESD/ESDFOS evaluation & training as well as supply chain management. The services fulfil the quality requirements of the automotive, aerospace, telecommunication, medical, automation and industrial electronic industries.

RoodMicrotec has branches in Germany (Dresden, Noerdlingen, Stuttgart) and in the Netherlands (Zwolle).

Services - Test/ Product Engineering, Production test, programming, End-of-line Service, Supply Chain Management, Qualification and Burn-In, Failure & Technology Analysis, FIB Services, Reliability Engineering, ESD/ESDFOS Evaluation & Training for active, passive and optoelectronic devices, printed circuit boards (PCB's) and printed board assembles (PBA's).

All our products and services underline the highest quality and environmental standards. ISO/TS16949 ISO9001 ISO/IEC17025 ISO14001

Locations:-

RoodMicrotec N.V. RoodMicrotec Noerdligen GmbH + Co.KGRoodMicrotec International B.V. Oettinger Strasse 6, 86720 Noerdlingen, GermanyDokter van Deenweg 58, Phone: +49 (0) 9081 804-0 8025 BC Zwolle, The Netherlands Fax: +49 (0) 9081 804 208Phone: +31 (0) 38 4215 216Fax: +31 (0 ) 38 4216 410

RoodMicrotec Stuttgart GmbH RoodMicrotec Dresden GmbHMotorstrasse 49, 70499 Stuttgart, Germany Maria-Reiche-Strasse 7, 01109 Dresden, GermanyPhone: +49 (0) 711 867090 Phone: +49 (0) 351 205 6660Fax: +49 (0) 711 8670950

38

Page 40: NMI Supplier Dir 2012

S3 Alliance LimitedContact: Colin SpenceTelephone Number: 02871 357760Email: [email protected]: www.s3-alliance.com

S3 Alliance provides the network, and product portfolio that brings leading edge solutions to our customers. Our supply and service solutions are tailored to meet our customers ever changing requirements, enabling them to be competitive in what is now a truly global marketplace. For the semiconductor/MEMS market we source and offer quality products at the right price. Within this market we offer solutions in the following areas:

Equipment Parts & Consumables Service Cleaning solutions

Savantech LtdContact: Brad ConnorTelephone Number: 01506 417030 Email: [email protected]: www.savantech.co.uk

Innovative equipment integration software, solutions and services for the Semiconductor Manufacturing Industry

In the ever more highly competitive semiconductor industry, equipment integration is one of the key tools that chip manufacturers can utilise in order to maximise the productivity and flexibility of their facility. Implementing EI has a proven track record of enhancing tool utilization, reducing scrap and increasing overall productivity. By linking the equipment to your shop-floor control system, your process can be secured, and the data directly collected can be leveraged to both improve the process and to minimise idle time.

Savantech Limited has a highly experienced pool of semiconductor professionals with many years of experience using industry standard software tools such as the Brooks Software and Applied Materials product sets. Our expertise encompasses process control and optimisation, data collection, efficiency enhancement and asset tracking. Savantech Limited offers a complete range of services, from initial needs assessment through system design, development, and implementation. We also provide comprehensive support and maintenance packages.

Due to committed R&D investment in the creation of flexible and robust software solutions using the latest web-enabled .NET platform, Savantech is uniquely placed to offer semiconductor customers a balance between proven industry standard platforms and cutting-edge, extensible technology solutions. Savantech Limited offers CoreTegral, the most technologically advanced automation development platform in the market today, taking advantage of the finest industry standard tools to enable rapid deployment and real-time remote management capabilities.

Core to the Savantech approach is the industry knowledge and experience to ensure that the solutions do what is required for the business, reliably, 24-7. Our focus is on providing targeted automation solutions that deliver the best cost/performance package for each customer. Full-fab automation is not an option for most existing semiconductor manufacturing facilities, and is, indeed, not usually the best solution. Excellent ROI can be achieved by automating a select set of key equipment in the first instance, to address pressing problems of scrap, poor throughput or poor process yield. As the cost benefit of automating those tools is proven, further functionality can be introduced and/or further tools automated. Savantech has extensive expertise in identifying where automation will return the most value, and in providing the solution to do it. Choose Savantech to make the most out of your fab's potential.

Services:Increase equipment throughput Decrease unplanned down-timeEliminate scrap caused by mis-process Improve process through data analysisFacilitate Advanced Process Control Real-time factory floor visibility

3 llianceS A

39

Page 41: NMI Supplier Dir 2012

Scotech LtdContact: Steven FraserTelephone Number: 01475 540689Email: [email protected]: www.scotech.ltd.uk

Scotech – the UK's premier distributor of thin film coating equipment and consumables.

Scotech supply Capital Equipment and Consumables from market leading companies in the Vacuum Deposition and Etch field. Our product range covers diverse markets ranging from blue chip Silicon, MEMS & III-V Semiconductor manufacturers through leading edge research companies and universities.

Through our experienced team of engineers we aim to supply high quality service with efficient technical back up. All our technical staff have over 30 years industry experience in thin film processing.

Scottish Microelectronics CentreContact: Iain HyslopTelephone Number: 0131 650 7474Email: [email protected]: www.scotmicrocentre.co.uk

The Scottish Microelectronics Centre is Scotland's World class centre in the Semi-conductor and MEMS Industry.

From it's modern facilities within the Campus, of Edinburgh University at the King's Buildings. The SMC provides Research & Development, Analytical, Processing and Assembly activities for both Internal and external customers relating to the development of MEMS and Microelectronics.

The SMC delivers specialist support to companies ranging from Start-ups through to Multi National corporations.

From its State of the Art facility, which includes both office and clean room space, an extensive tool set, first class support programmes, and networking opportunities and access to academic support from the 5 star rated Institute of Micro and Nano Technology of Edinburgh University.

Through our support programmes we are able to smooth the progress of ideas and bring them to Market. By using our service's and facilities on a “Pay as You Go” basis, companies can remove the barriers, of both high costs and long lead times that bar entry to Industry.

SMC thrives on Partnership and collaboration. Talk to us today and see how your business can benefit from SMC's services.

Services

Analytical – In House Semi-conductor Analysis and Circuit Edit facilities provide a rapid turnaround service for Failure Analysis, Process Monitoring and IC repair. The workhorse of the department is the Focussed Ion Beam Milling Machine, FEI 200, which has a copper etch compatibility and CAD overlay system enabling repair, cross-sectioning and circuit edit of Silicon semiconductor and MEMS devices. This is supported by SEM and AFM facilities.

Processing Development – SMC provides a process development service for MEMS fabrication Semi-conductor integration. Processes can be flexibly developed and characterised on Wafer sizes from 75 to 200mm. Facilities include I and G Line Stepper and contact Photolithography, Layer Deposition (LPCVD, PECVD), Metallization, and Dry Etch 9 including DRIE, CMP Wafer to Wafer bonding, MEMS Dry Etch Release and Surface treatment.

Assembly – Customers are offered, low volume and rapid turnaround In-house packaging solutions. Capabilities include Wire bonding, Wafer dicing. We enable our customers to move quickly from design concept to functional prototype device.

Incubation – The SMC provides specialist Business Incubation, which removes the barriers to Companies entering the Semi-conductor and MEMS Industry. SMC has an enviable track record in supporting successful start ups in the Industry.

40

Page 42: NMI Supplier Dir 2012

Our full listing can be found on page 42

Page 43: NMI Supplier Dir 2012

Sel-Tek LtdContact: Bobby GrayTelephone Number: 01475 635100Email: [email protected]: www.sel-tek.co.uk

Sel-Tek Limited are a highly respected and diligent supplier to the European semiconductor industry. Our purpose is to supply, install and support, specialist equipment to entire sectors of the Semiconductor, RF/Microwave, Signal Integrity, PCB, MEMS, BGA, solar panel, opto-electronics and other related Industry's within the European community.

For over a decade, we have offered two distinct product lines, electrical test characterisation together with a comprehensive wet chemistry range. Our affiliation with the OEM's (Original Equipment Manufacturers), ensures the highest possible level of product expertise and service to our customers.

Our company offers every possible solution to customer applications relating to analytical probing requirements, Signal Integrity testing, Probe card applications, Resistivity measurement systems, Chamber assurance tools, Hall Effect measurement systems, high purity chemical pumps, D.I water heaters, pump rebuild/warranty service and wet processing equipment, inclusive of wetbenches (manual and automatic) and IPA vapour dryers.

Semi Scenic UK LtdContact: Owen TangneyTelephone Number: 01355 222 166 / 07967 307755Email: [email protected]: www.semiscenic.net

Refurbished equipment is providing Semiconductor Manufacturers with a cost-effective choice for their production needs in order to satisfy their performance goals and budgetary requirements. Semi Scenic provide turnkey solutions that include equipment selection right through to installation and warranty, offering a comprehensive package that allows customers to manage their resources while minimising the risk associated with purchasing refurbished equipment. Critically, all with OEM backing.

Semi Scenic has a staff of highly experienced, OEM trained and qualified engineers. The executive team have extensive sales & service management experience with Lam Research and are well known for their expertise across the UK & European semiconductor industry. Located in East Kilbride, Scotland the Company has a dedicated system refurbishment cleanroom facility (capacity expansion late 2009) situated within a rapidly developing Technology Park.

ServicesSemi Scenic UK Ltd has a proven track record in supporting Lam Research systems across Europe, offering extensive solutions for these products: Drytek - OnTrak - Auto Etch (490, 590, 690) - Rainbow (44XX,45XX,46XX,47XX) - TCP (9400, 9600) - Alliance (All)

Recognised by Lam Research as their preferred outsource service provider throughout Europe as well as being the preferred supplier of refurbished equipment in the region. Also the official distributor of Lam legacy system spares & service for the European market. The wide range of services provided includes:

Fully Refurbished System Packages - Field Service Support - Field Process Support - System Sales Legacy System Spare Parts - System Spare Parts & Sub-assembly Repairs - Customer Technical Support Process Development - System Upgrades & Reconfiguration - System Installation - Training

In addition Semi Scenic offers full support for: Varian Implant legacy products in Europe. CMP, Clean, & Metrology tool services, plus development & foundry services.

42

Page 44: NMI Supplier Dir 2012

Serma TechnologiesContact: Benjamin CrouillereTelephone Number: 0033 613 391 883Email: [email protected]: www.serma-technologies.com/en

SERMA TECHNOLOGIES is a Failure Analysis Laboratory and Test House company specialised in analysis, control, expertise and consulting service of electronic components, boards and systems. We assist our customers at each level of the industrial cycle by providing support on various issues such as development, reliability, security of their products.

SERMA TECHNOLOGIES performs more than 6000 physical and electrical evaluations per year on electronic components, boards and systems in a wide range of sectors (aeronautics, space, automotive, transport, railway, telecoms, military, assembly, contract manufacturers…) at all levels of the industrial cycle: technology analyses and qualifications, failure analyses, smart cards tests, electrical tests & characterisations, reliability & characterisation test on semiconductor processes, qualification programs, IC modification with FIB.

SERMA TECHNOLOGIES provides training sessions (standard programs or customised sessions upon request) on the following topics: active and passive component technologies, assembly, audit, failure analysis, smart cards, reliability…

Some figures: Creation: 1991 3 locations: Bordeaux, Paris, Grenoble (Minatec) Turnover: 23 M€ Number of employees : 170 (including 90% of engineers and technicians) Laboratory: 5000 m² 6000 analyses/year Equipment valuation: 25 M€

Simple Technical Solutions (STS) LtdContact: Gordon RiddellTelephone Number: 01592 593300Email: [email protected]: www.sts.gb.net

STS Ltd. was formed in 2002 to address a specific need in the marketplace for high quality cost effective PVD tools and support.

Since incorporating the business it has grown to become the market leader in Europe and was selected by Novellus to provide official support for the Legacy PVD products supported by Novellus after the 1997 acquisition of Varian's Thin Film Product division. In 2007 STS expanded this operation with official Novellus support into North America with the incorporation of STS Inc in Texas.

In 2006 STS acquired the precision parts cleaning business from Saint Gobain Semicon. This acquisition expanded the scope of supply of STS to include process production support services for the European Semiconductor market. This business unit is known as STS Precision Cleaning Services.

STS continue to develop using the business model that has served us well since the company began.

SiSTEM TechnologyContact: Chris RidgeTelephone Number: 01327 857006Email: [email protected]: www.sistemtechnology.com

Sistem Technology is a full service provider of Semiconductor and Advanced Technology process tools and sub-systems for R & D, Production and OEM applications.

Wet Process: Batch and single substrate processing, including spin coating, develop, bake, lift-off, plating, etch and clean. Chemical distribution systems, Megasonics, DI water/chemical in-line and immersion heaters, gas and solvent heaters.Wet bench upgrades.

Thermal Processing: Horizontal furnaces. Advanced controllers, replacement elements and vestibule blocks for most furnace types. High performance convection and vacuum ovens, 200-450mm high temperature vacuum cure ovens, quartzware

Photolithography: R&D and production contact, proximity and projection mask aligners. Reticle storage systems. Nanoimprint lithography solutions. Chuck Cleaning Wafers.

Films: Atomic Layer Deposition (ALD) tools for research and volume production

Test: Epoxy/Blade probe cards including ultra low leakage. Probe cleaning materials. Test Cell conditioning films.

Vacuum: High capacity vacuum traps, mist eliminators and oil filtration systems.

Automation: Assembly, integration, retrofit and servicing of E.F.E.Ms, A.M.H.S and related S.M.I.F modules. Automated wafer/cassette transfer systems. RFID and IR read/write systems. BCR/OCR readers. 200/300mm Sorters, Quartz boat cell transfer systems, Boat loader/unloader systems, Complete interface solutions

43

Page 45: NMI Supplier Dir 2012

SiVentureContact: Simon MilfordTelephone Number: 01628 651366Email: [email protected]: www.siventure.com

SiVenture offers a range of security related services to assist you to achieve your business objectives, whilst minimising the risk from security weaknesses. SiVenture is a fully accredited Common Criteria Test laboratory (CCTL), also known as an IT Security Evaluation Facility (ITSEF) or Commercial Evaluation Facility (CLEF), as well as being a fully accredited CESG Commercial Product Assurance laboratory offering a range of specialist security skills as well as being a generalist testing lab.

SiVenture has experience in all areas of security evaluation, including software, hardware, cryptography and silicon chips, and offers services relating to Common Criteria (ISO 15408), CESG Commercial Product Assurance and FIPS 140, as well as services tailored to specific customer requirements.

Located in Maidenhead, UK, SiVenture is easily accessible to development centres in Europe, UK, Asia Pacific and the US.

Our historyThe SiVenture team offers extensive security experience across a range of market sectors, including finance, defence, transportation, mobile wallets, product and system evaluations, and also provide security training, both as standard courses covering, for example, the physical security concerns faced by smartcard manufacturers, to bespoke courses tailored specifically to your needs.

We offer expertise in all of the threat areas that your products or services may face. Our multi disciplined team of experienced evaluators, software engineers, mathematicians, physicists, cryptographers and smart card professionals can tackle the most challenging projects.

We are a UKAS accredited testing laboratory No. 2722 for evaluating the security of IT products and systems to Common Criteria standards.

SiVenture is part of NDS, leaders in security solutions for pay TV. NDS pioneered the use of smart cards for pay TV and today protects more than 32 billion dollars of customer revenues.

Our missionSiVenture puts your products, systems and services through their paces before they are released. We can spot problems before they lead to major losses or litigation. In order to do this, we offer three types of services: Evaluation Consulting Lab Services

ServicesAccess to Focused Ion Beam, Scanning Electron Microscopy, Atomic Force Microscopy, Reactive Ion Etching, Optical Microscopy, Power and Fault Analysis.

MarketsAny market requiring circuit edit and fault analysis work., any market where security is of interest.

44

Page 46: NMI Supplier Dir 2012

Smartest GmbHContact: Helmut TietzenTelephone Number: +49 (89) 45150515Email: [email protected]: www.smartest.de

Today's semiconductor industry has a rapidly growing demand for faster turn around times in product development and cost-effective manufacturing. This includes faster development of IC test solutions and parallel efforts to cover the large variety of products.

In many cases existing test solutions must also be transferred to new high speed test equipment to reduce the cost of test.

There are also upcoming demands from "fabless” ASIC design houses to help them transfer their ASIC designs to production.

These requirements often lead to resource shortages and requirements for additional expertise and therefore contracting out test development work has more and more become an established route.

Smartest GmbH, a privately owned, Munich based company, was founded to address these needs in a very flexible and efficient way.

Smartest offers a complete application package which is focused on short development times while minimizing the involvement of the customers resources in the out-sourced project.

This is achieved by offering a complete package of services for IC test applications, including: test concept engineering test program generation and debug the design and manufacturing of interface boards production integration product analysis documentation and training

The development process is monitored by computerized project planning and review tools. They are transparent to the customer and also open to the customers requirements in TQM. Finally the product support is guaranteed by a unique software warranty procedure.

This concept has proven to be very successful and today, Smartest supports a large number of tester platforms for customers all over the world.

Solar Semi EngineeringContact: Ian HendersonTelephone Number: 01273 513653Email: [email protected]: www.solsemi.com

Solar Semi Engineering Ltd is located in Cambridge and Newhaven UK and is part of the Thompson Group from Montana USA.

We specialise in the design and manufacture of chemical processors primarily for the Semiconductor and Solar industries and for applications that require ultra clean processes using similar chemistries. Our product spectrum covers manual, semi auto and fully automated chemical wet process equipment, with installations throughout Europe, Asia and the Middle East, resulting in SSE Ltd being internationally recognised as a first-class engineering company with excellent technological capabilities.

We pride ourselves on our 30 plus years in supplying both automatic and manual processors for applications as diverse as the growth of porous silicon on a single wafer lab tool to the automatic cleaning of silicon ingots weighting more than 100Kg.

Using 2D/3D CAD programs and the latest modelling software to reduce design iterations, which when coupled with our extensive experience in the industry help ensure a rapid time to market. We believe that our focal and technical expertise in the Semiconductor and Photovoltaic fields, coupled with our commitment to work closely with our customers, makes SSE Ltd the ideal partner choice. Our wet process enabling technologies include:- • Silicon Wafer Wash & De-glue• Porous Silicon• HF Vapour• Manual, Semi-auto & Fully auto wet process benches• Component Cleaning• Chemical Supply & Waste Systems SPECTRON GAS CONTROL SYSTEMS LTD - SEE PAGE 57

45

Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Page 47: NMI Supplier Dir 2012

SPS LtdContact: Peter SummersTelephone Number: 01750 725712Email: [email protected]: www.sps-europe.com

SPS is a full-service distributor of small equipment, tools and consumables used in semiconductor fabrication, MEMS, & Biotechnology, including wafer handling, wet processing, photolithography, CMP and Etch / PVD / diffusion areas.

We supply a range of Equipment and Consumables including:

WAFER HANDLING: Manual wafer handling Vacuum Wands, manual Aligners and Transfer systems, wafer Escalators, and a range of LED Inspection Lamps.Automated wafer handling tools including Aligners, Transfer systems, ID readers, Sorters, and custom engineering.Robotic wafer automation – including specialist Thin-Wafer handling capabilities.Wafer Cassettes & Storage Boxes in a full range of SEMI designs and materials. Wafer Shipping containers (Jars, Canisters, Foams Tyvek® etc), and single wafer shipping and storage containers.

WAFERS: We supply a wide range of II-VI, III-V and specialist substrate materials for Universities and R&D or low volume specialist manufacturing.

WET PROCESSING: DI Water & Chemical Heating systems, Flow Control & Measurement for all chemicals including CMP slurry. An extensive range of Process Tanks (PTFE, PP, PVDF, Quartz), chemical Pumps, Valves and Fittings.Spin Processing systems from small tabletop Spin Coaters to fully integrated Spin-Processing Stations with automated tracking dispense, and custom systems for large format FPD and specialist applications. We also supply "In-Deck" versions for OEM's and for integration into existing wet benches.

PHOTOLITHOGRAPHY: Mask Aligners & UV Light Sources, bench-top small R&D systems, cassette-to-cassette Automated systems, and large format FPD aligners. We also supply Nano-Imprint modules, as well as a range of UV Meters & Analyzers including meter Calibration services.We also have a range of high quality Stepper compatible Reticle Cases.

ETCH, CVD & DIFFUSION: For Diffusion Furnaces we supply Heating elements, Vestibule Blocks, Soft Collar insulation materials, and a full range of Gas Filters.For Etch, PVD/CVD, & RTP robots, we supply End-Effector wrist upgrades – eliminating end-effector "droop", and long-lifetime Ceramic Bearing Upgrades for reducing downtime and improving cleanliness.

CMP: CMP Retaining Rings and Conditioning Disks, Head Motor Refurbishment, as well as OEM-approved upgrades for slurry Flow Control, and other quality upgrades including VME & Pneumatics boards.

BACK-END: Dicing Film Applicators, UV Curing Systems and Die-Matrix Expanders, as well as consumable Dicing/Grinding Films (standard/UV), Grip Rings, Film-Frames, and their respective Shipping Containers. Wafer Probe Cleaning Sheets.

Tyvek® is a registered trade mark of E. I. du Pont de Nemours and Company.

SPTS TechnologiesContact: Joost BosmanTelephone Number: 01633 414000Email: [email protected]: www.spts.com

SPTS Technologies designs, manufactures, sells, and supports etch, PVD, CVD and thermal capital equipment and process technologies for the global semiconductor and micro-device industries, with focus on the MEMS, advanced packaging, LED, high speed RF device and power management markets. The solutions offered by SPTS include market-leading silicon etch, dielectric etch, dry-release etch, PVD, PECVD, APCVD and large batch vertical furnaces, available with a range of wafer-handling options applicable to R&D, pilot production, or volume production environments.

Major Products: Silicon DRIE systems Compound Semiconductor and Oxide etching systems Silicon oxide sacrificial layer etching systems Plasma Enhanced CVD systems Physical Vapour Deposition systems Thermal batch processing systems (LPCVD/APCVD)

46

Page 48: NMI Supplier Dir 2012

Our full listing can be found on page 46

Page 49: NMI Supplier Dir 2012

Stepper Technology LtdContact: Thomas SmithTelephone Number: 01475 635410Email: [email protected]: www.steppertech.com

Stepper Technology Ltd is a supplier of refurbished Photolithography Steppers.

Providing sales, service, parts and training on the following make/models:

ULTRATECH - 1500, 1500MVS, 1600DSA, Prisma, Titan and SaturnNIKON - i7 to i14 ASML - 5000 to 5500

Our machines are refurbished to OEM spec in our cleanroom, where we have full process coat and develop capability.Machines are then installed with full warranty and process acceptance at customers factory.

NEW TO THE MARKET - UNIVERSAL WAFER LOADER - RUNS 3" TO 8" WITH NO HARDWARE CHANGE!

We can also refurbish and upgrade your equipment in the field or in our cleanroom.

Below are a few features which our scheduled service consists of: Monthly, Quarterly and Yearly Preventive Maintenance Extensive testing of system electronics Technical assistance on reliability of systems Written recommendations for further system improvements Training of your maintenance engineers on repair and maintenance of their systems

Our highly skilled and experienced team of engineers can provide Support and Training on all aspects of machine processing and applications.

On-site training is available for customers and we also run training courses at our facility here in Greenock.

Stepper Technology Ltd also has a wafer test division which provides equipment sales, servicing, modification and commissioning of equipment.

STFC Rutherford Appleton LaboratoryContact: Dr. John McLeanTelephone Number: 01235 445 276Email: [email protected]: www.stfc.ac.uk

STFC (Science and Technology Facilities Council) is one of the seven UK Research Councils reporting to the Department of Business Innovation and Skills. STFC funds research, operates several world-class establishments, manages the UK’s subscription to many international scientific collaborations, and aids advances in science and technology by providing the research community with access to advanced facilities and the expertise of its staff. STFC employs approximately 1900 staff across its UK establishments, most of whom are professionally qualified scientists and engineers.

Rutherford Appleton Laboratory (RAL) is one of the STFC establishments within the UK and is also one of Europe’s largest multi-disciplinary research organisations. The Laboratory is home to a number of the UK’s major scientific research facilities and has a global reputation for excellence in many areas of science and advanced engineering technology.

The Microelectronics Support Centre (MSC) located at STFC Rutherford Appleton Laboratory is an internationally recognised centre of excellence in design methodologies and flows for microelectronic, microsystem and electronic system design. The MSC specialises in providing academic institutions from the UK and Europe with the design tools, design flows, training and support that they require to enhance their microelectronic, microsystem and electronic system design research and teaching. This essential role enables the universities to conduct industry relevant research, and to train the highly capable engineers which form the lifeblood of the UK electronic system design industry.

Stepper Technology LtdStepper Technology LtdStepper Technology Ltd

48

Page 50: NMI Supplier Dir 2012

Un

it 1

, B

lock 5

Lark

field

Ind

ustr

ial

Esta

te,

Earn

hil

lRo

ad

Gre

en

oc

k, P

A1

6

0E

Q

Tel:

+44 (

0)1

475635 4

10

Mo

bil

e:

+44 (

0)

7979963310

Our full listing can be found on page 48

Page 51: NMI Supplier Dir 2012

T Squared GroupContact: Tracy GayneTelephone Number: 0141 557 6800Email: [email protected]: www.tsquared.co.uk

T-SQUARED designs, builds and services cleanrooms, laboratories and other controlled, enclosed environments from a single and fully accountable supply source which uses a totally transparent, inclusive and personalised approach delivered by a team of highly qualified, globally experienced and committed personnel.

Teradyne Ltd Contact: Chris BrownTelephone Number: 01252 844561Email: [email protected]: www.teradyne.com

Teradyne is the world's largest supplier of semiconductor test equipment for logic, RF, analog, power, mixed-signal, and memory technologies. We deliver test solutions to developers and manufacturers of a broad range of integrated circuits, packaged separately or integrated as cells in system-on-a-chip (SOC) devices. ICs tested by Teradyne are used in computing, communications, consumer, automotive, identification, and internet applications.

ServicesComplete Turnkey Test SolutionsNew device, Platform Conversion, Throughput ImprovementUtility SW tools DevelopmentEDA/STIL/Vector ConversionsDevice interface board (PIB, HIB, Probe Card) design & manufacturingDUT-to-tester signal delivery hardware (Pogo Tower)Mechanical infrastructure (stiffeners, pogo tower loader, cables)

MarketsTest equipment and test Program Development Services to the semiconductor industry.

Tetreon TechnologiesContact: Gerry ThurgoodTelephone Number:Email:Website:

Tetreon Technologies was established in November 2003 and acquired Thermco Systems in 2004. Thermco specialises in diffusion furnaces for Semiconductor, MEM's, LED, Nano materials, Photovoltaic and research applications.

Thermco has probably the largest installed base of diffusion and LPCVD furnaces in the world and we continue to manufacture and support high quality systems that provide high uptime, high throughput and excellent cost of ownership.

Services MarketsEquipment service and support Equipment sales forUpgrades and repairs LEDEngineering solutions MEM'sTraining Nano applicationsSpare parts PhotovolaticsInstallation and de-commissioning SemiconductorMTBF and CoO analysis and audits Horizontal furnacesBusiness support Mini and R&D systemsMarket consultancy AutomationAdministration and accountancy support PC-MUX computer control

+44(0)1903 [email protected]

50

Page 52: NMI Supplier Dir 2012

Our full listing can be found on page 50

Page 53: NMI Supplier Dir 2012

Thin Film Equipment (TFE)Contact: Paul SimpsonTelephone Number: 01506 857566Email: [email protected]: www.tfe-thinfilmequipment.com

TFE is a well established supplier of high quality factory refurbished sputtering equipment to the European market. Tools are supplied complete with installation and a comprehensive warranty package that is supported by our own highly experienced, OEM trained service team.

Exclusive European Distributor of new KDF batch sputter tools.

We also build custom sputter tool systems, ranging from small R&D tools with a wide range of configurations, through to very large, high volume manufacturing tools for the solar industry.

Sales Representative for equipment manufactured by AXIC, Electrorava etc and factory refurbished manufacturing tools by Solutions on Silicon.

Services:Over a period of 15 years, TFE has established a proven track record for selling and supporting sputtering systems across Europe. We offer extensive solutions for the following products: MRC batch tools (6X3, 9X3) KDF batch tools (6X3, 9X3, 6X4, 9X4, 744, 844) MRC Eclipse (Star, Mk II, Mk IV) Small scale, custom made R&D sputter tools, including those based on early MRC models such as 8667

Large scale, custom made sputter tools for volume manufacturing

Our services include: Custom made sputter systems Sales of new KDF batch tools Supply of factory refurbished MRC/ KDF systems System Installation and commissioning

Field Service Support Field Process Support Comprehensive Training System Upgrades & tool reconfiguration

Spare & consumable parts, including sputter targets Customer Technical Support Process Development Post warranty service support packages

Through our partner, Solutions on Silicon, based in Holland, TFE can also provide to its European customers a wide range of factory refurbished and fully warrantied semiconductor manufacturing equipment originally manufactured by LAM Research, Applied Materials and others. The range supported includes CVD, etch and sputtering tools based on the following platforms :

AMAT P5000 and Centura AMAT Endura LAM Rainbow (44XX – 47XX) LAM TCP (9400, 9600) LAM Alliance

In addition TFE represents

Electrorava products across UK and Europe. - GRIKIN sputter targets across UK and Europe

Tokyo Electron Europe LtdContact: Yuko CaseyTelephone Number: 01293 655800Email: [email protected]: www.tel.com/eng/about/europe/tee.htm

As a leading global supplier of semiconductor production equipment, Tokyo Electron Limited (TEL) engages in development, manufacturing, and sales in a wide range of product fields. The company also leverages specialized technologies developed for the semiconductor production equipment market to engage in the area of flat panel display (FPD) production equipment. All of TEL's semiconductor and FPD production equipment product lines maintain high market shares in their respective global segments.

TEL provides outstanding products and services to customers through a global network of approximately 90 locations in 15 countries in the U.S., Europe, and Asia.

52

Page 54: NMI Supplier Dir 2012

Tyndall National InstituteContact: Ted O'SheaTelephone Number: 00353 (21) 490 4159Email: [email protected]: www.tyndall.ie

The mission of Tyndall National Institute is to provide research excellence in Micro/Nano Electronics, Photonics, Microsystems, Theory Modelling and Design. Tyndall has a strong portfolio of research activities with industry and other research organisations. A key focus of the Institute is ‘to drive research investment into value for money for industry’.

Tyndall, known formally as the National Microelectonics Research Centre established in 1983, was established in 2004 to provide a critical mass of researchers to support the growth of the smart knowledge based economy. Tyndall National Institute is a major multidisciplinary research institute with over 400 staff & researchers, and an infrastructure of over €180 Million. It has the required scale of resources to compete effectively in the international R&D market, while also providing the highest level of expertise directly to industry. Tyndall’s research is chiefly applied to finding solutions for the needs of society in Communications, Energy, Environment and Health. Many reported scientific and technological breakthroughs are testimony to the quality of the research conducted at Tyndall. It is the application of that research and Tyndall’s track record of industry engagement and technology licensing that sets it apart from many other research providers. Education of the next generation of researchers is also of vital importance and Tyndall hosts 125 PhD students who make a major contribution to Tyndall’s research output before taking their expertise and skills into further research and into industry.

Tyndall’s fabrication, packaging and test facilities are extensive and are used to provide technology support services to industry and other research organisations. Tyndall’s DTE group provides advanced engineering support to IC designers, semiconductor technology developers and the intellectual property assertion community. This it does with its extensive electrical measurements facilities, as well as its technology and design investigative resources.

ServicesANALYTICAL TOOLS & SERVICESCONSULTANCY SERVICESR&D SERVICESRELIABILITY TESTRF RELATED SERVICESSOFTWARE & AUTOMATIONTESTINGTRAININGWAFER FABRICATION

MarketsMICRO/NANO ELECTRONICS SEMICONDUCTOR TECHNOLOGY & DESIGNINTEGRATED CIRCUIT DESIGNMIXED SIGNAL TECHNOLOGY & DESIGNRF TECHNOLOGY & DESIGNMICROSYSTEMS TECHNOLOGY & DESIGNINTEGRATED PASSIVE COMPONENTSINTELLECTUAL PROPERTY PROTECTIONOPTO-ELECTRONICS TECHNOLOGY & DESIGNTHEORY, MODELLING & DESIGN

53

Page 55: NMI Supplier Dir 2012

U4Global SolutionsContact: Alan RoutledgeTelephone Number: 023 8076 0909Email: [email protected]: www.u4global.com

U4Global Solutions is a unique company that provides fulfilment solutions on both the sell and buy side of your business. From marketing campaigns to outsourced procurement and second sourcing, the company uses its global networks of partners, customers and suppliers to help your business succeed.

We like to think of ourselves as an extension to your own company, understanding your needs then executing efficiently on the agreed plan. We pride ourselves on our open and honest business ethic, and long-term relationships with our customers and suppliers.

Outsourced Procurement, Sourcing and FulfilmentWe operate as your own procurement organisation without the headcount and associated costs, usually saving money in the process. Supply chain and cost reduction programmes have led to a wide range of products being sourced, achieving savings from non-technical everyday items as well as high technology specialist equipment. We manage all shipment and tracking issues, ensuring on-time deliveries.

Budgeting Services:Our independent status means we offer impartial advice and can provide multiple options for discussion and decision making with management. We have been involved in early stage development of several companies.

Sale, Resale and RemarketingOur Internet and global presence gives us a platform to market goods and services across the globe quickly and efficiently.

Company Start-Up ServicesExperience in many high tech start-up businesses allows us to provide guidance, and advice on several aspects of businesses in their early stages.

Database, Internet and SoftwareWe have excellent developers and understanding of the business needs from simple websites through e-commerce to full supply chain and ERP integration.

Unisem (Europe) LtdContact: Telephone Number:Email: [email protected]: www.unisemgroup.com

Unisem Group is a global provider of semiconductor assembly and test services for many of the world's most successful electronics companies. Unisem offers an integrated suite of packaging and test services such as wafer bumping, wafer probing, wafer grinding, a wide range of leadframe and substrate IC packaging including leaded, QFN, BGA and FlipChip packages, and high-end RF and mix-signal test services. The company's turnkey services include design, assembly, test, failure analysis, and electrical and thermal characterization. With approximately 10,000 employees worldwide, Unisem has factory locations in Ipoh, Malaysia; Wales, United Kingdom; Chengdu, People's Republic of China; Batam, Indonesia and Sunnyvale, California, USA. The company is headquartered in Kuala Lumpur, Malaysia.

UNISEM Europe services include the following: New Package selection review and advice (including SiP and MCM) Package design and development for wide variety of package styles Failure analysis Reliability and qualification testing Test development, Test consultancy via partners Fast turn prototyping (BGA, QFN)

54

Grant
Typewritten Text
Andy Hawkins +44 (0) 1495 242404
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Page 56: NMI Supplier Dir 2012

Vance Precision Technologies LtdContact: Colin VanceTelephone Number: 01389 755010Email: [email protected]: www.vance-technologies.com

Vance Precision Technologies - Manufacturing and supplying slicing solutions for the solar and semi-conductor industries worldwide.

As one of Scotland's brightest up and coming companies, Vance Precision Technologies has been servicing the needs of the silicon wafer manufacturing industry for over 10 years.

Established in 1996 as a processor of castable and injection moulded polyurethanes, Vance Precision Technologies has since gone from strength to strength. As a company we continually strive to improve through re-investment in the latest technologies and further development of the skills needed to grow and progress within the industry.

We place the utmost importance on the quality of our products and the development of our processes to suit each individual customer. Now with a global client-base we remain as committed as ever to building and maintaining relationships with our customers and providing a professional and efficient service at all times.

· Polyurethane Pulleys· Manufactured to suit all wire slicing machinery· Recoating and regrooving of wire guides· Insulation Rollers· Epoxy resin suppliers· Design and development for wafer handling equipment· Slicing beam suppliers· Aluminium locating hubs for all slicing equipment

Westerwood (WG) Global LtdContact: Steve HallTelephone Number: 00353 (0)165 10121Email: [email protected]: www.westerwoodglobal.com

Westerwood Global provide operational and technical support to both manufacturers and OEMs in the Semiconductor, Pharmaceutical, Medical Device and Electronics industries.

Wordentec LtdContact: Phillip MingayTelephone Number: 08453 707041Email: [email protected]: www.wordentec.com

Wordentec are a global supplier of instruments and systems to the high vacuum and thin film coatings industry.

Using the company's extensive vacuum engineering experience, Wordentec are able to provide innovative solutions to vacuum engineering challenges.

The company has a growing range of new products including instruments and accessories for thin film coating.

The company continues to provide system refurbishment and reconfiguration services that offer a very economic alternative to new equipment.

Markets

The equipment manufactured by Wordentec includes: Small R+D vacuum coating systems for cutting edge pre-production applications such as photovoltaics and storage media. Industrial vacuum systems with a variety of coating technologies such as resistance and electron beam evaporation, ion beam and magnetron sputtering sources.Ultra-high vacuum systems for specialist applications such as university research projects and applications within the aerospace industry.

Wordentec provides application specific knowledge and expertise to create custom made equipment with flexibility to help you realise the full potential of vacuum coating.

ORDENTECW

55

Page 57: NMI Supplier Dir 2012

56

NMI

MEMBERS

3M UK plc 40-30 ABB Akya Holdings Limited Almond Engineering Alstom Grid Analog Devices AnCuig Ltd Applicos Aptcore Limited Aptina Imaging ARM ASE (Europe) Inc. Aspex Semiconductor Limited ATEEDA Atmel Austriamicrosystems UK BAE Systems Bourns Limited Broadcom Brooks Automation Busch Semicon Cadence Design Systems Cambridge Semiconductor Ltd City University London CML Microcircuits (UK) Limited Compugraphics International Ltd Converteam UK Limited Converter Technology CoreTest Technologies Ltd CSR Cyan Technology DELTA Microelectronics Ltd Deposition Technology Ltd DfT Solutions Ltd Dialog Semiconductor Diodes Zetex Semiconductors Ltd DisplayLink (UK) Ltd Dockweiler UK Ltd Doulos Ltd DSP Valley Dupont EKC Technology Dynex Semiconductor E2V Technologies Ebara Precision Machinery Europe EDA Solutions Ltd eDEX Systems Ltd eInfochips (GB) Electronics Link Asia Elonics Limited Eltek Semiconductors Ltd Embecosm Ember Europe Ltd Enalvo Ensilica Ltd Enterprise Q Ltd eoSemi EPSRC EquipIC Supply Chain Exception PCB FAST Technologies Feabhas FEI UK Ltd FirstEDA Ltd Fluidic Ltd Fourteen Technology Limited Freescale Semiconductor Fujitsu Microelectronics Europe GaN Systems Limited Garfield Microelectronics Ltd Gemfire Europe Limited Global Project Services Global Technologies Gold Standard Simulations Limited

Green Hills Software Limited Highland Scientific HiWave IBM Microelectronics IC Resources ICEMOS Technology Icera Inc ICP Search Imagination Technologies IMEC Imperial College Infineon Technologies Ingenious Quality Limited Inside Secure Institute for System Level Integration (ISLI) Intel Corporation UK Limited International Rectifier Newport Ltd Ion Beam Services IQE Europe Ltd Jaltek Design Services Lancaster University Lauterbach Logos Logistics Limited Loughborough Surface Analysis LTX Credence Lynbond 2000 M + W Group Magma Design Automation Maser Engineering Matrics Limited Maxim Integrated Products Mediatek Megatech Ltd memsstar Mentor Graphics Metryx Limited Mfg Vision Ltd Microlease Finance micross components Ltd Mirics Ltd Moortec Semiconductor Ltd Multi-Lab Ltd MVTS Technologies Nanoscope Services Ltd Nanotech Semiconductor Nor-Cal UK Ltd NTEK Consultants Nujira Limited NXP Semiconductor Oclaro OM Group Ultra Pure Chemicals Optocap Oracle Oxford Brookes University Oxford Digital Limited Perforce Software Pfeiffer Vacuum Phaedrus Systems Ltd Phasix ESD Philips Lighting Ltd Photronics UK Ltd Picochip Plaspro GmbH Plessey Semiconductor Ltd Powervation Ltd Premier Eda Solutions Ltd Presto Engineering Europe Publitek Pulse Power and Measurement Limited Pure Wafer Ltd Rakon UK Limited Raytheon Systems Ltd Reliability Consultancy Limited Reliability Solutions

Reltech Limited Renesas Electronics Europe Ltd Retronix Semiconductor Ltd RF Global Solutions Ltd RF Micro Devices, Inc RF Support Ltd Rochester Electronics RoodMicrotec S3 Alliance Limited Savantech Ltd Scotech Ltd Scottish Enterprise Scottish Microelectronics Centre Seagate Technology (Ireland) Sel-Tek Ltd SELEX Galileo Semefab Semi Scenic UK Ltd Semtech Limited SERMA Technologies Shin-Etsu Handotai Silicon Agilitiy Silicon and Software Systems (S3) Silicon Basis Silicon South West Simple Technical Solutions (STS) Ltd SiSTEM Technology SiVenture Smartest GmbH Solar Semi Engineering Sondrel Ltd SPS Ltd SPTS Technologies Stepper Technology Ltd STFC Rutherford Appleton Laboratory STMicroelectronics (R&D) Ltd Strathclyde University Suunta Limited Swansea University Swindon Silicon Systems Ltd Synergie-CAD UK Ltd Synopsys (Northern Europe) Limited T Squared Group Telexsus Teradyne Ltd Test and Verification Solutions Tetreon Technologies Ltd Texas Instruments Thales (UK) Thin Film Equipment (TFE) Tokyo Electron Europe Ltd Toumaz Technology Ltd TTE Systems Limited Tyndall National Institute U4Global Solutions Unisem (Europe) Ltd University of Bristol University of Glasgow University of Greenwich University of Manchester University of Newcastle University of Nottingham University of Southampton University of Surrey University of the West of England, Bristol (UWE) University of Warwick Vance Precision Technologies Ltd Veale Wasbrough Vizards Westerwood (WG) Global Ltd Wind River Wolfson Microelectronics Wordentec Ltd Xerenet Limited Xintronix XMOS Semiconductor

MEMBERS: FEBRUARY 2012

Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Page 58: NMI Supplier Dir 2012
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Spectron Gas Control Systems Limited
Grant
Typewritten Text
Contact Name: Garry Wilkinson Phone: 02476 416234 Email: [email protected] Website: www.spectron-gcs.com
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Spectron Gas Control Systems is a supplier of "front end" gas control equipment for safe delivery of gases to production tools. Equipment ranges from simple pressure regulators to fully automatic Gas Cabinets and semi-automatic Valve Manifold Boxes. With area representatives, Spectron covers the whole of the UK and Ireland as well as exports to other parts of the world. Our Emphasis is on safety, with reliability and cost coming close behind.
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
THE COMPANIES BELOW HAVE BEEN ADDED SINCE GOING TO PRESS
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Categories: Cleanroom Services Equipment Sales & Refurbishment Equipment Spates, Instrumentation & Consumables
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Grant
Typewritten Text
Page 59: NMI Supplier Dir 2012

Our full listing can be found on page 36

Page 60: NMI Supplier Dir 2012

Quality

Flexibility

Reliability

Your Q

uartz

supplie

r of c

hoic

e

for s

em

iconducto

rs

www.enterprise-q.co.uk 0161 777 4888 [email protected] full listing can be found on page 13