140

NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

  • Upload
    dothuan

  • View
    249

  • Download
    7

Embed Size (px)

Citation preview

Page 1: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 2: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 3: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

3

Welcome to the latest issue of the NMI Suppliers Directory, which promotes our members who are suppliers to high tech manufacturing. NMI believe that maintaining a healthy and competitive infrastructure is critical to the success of the whole sector. For this reason, we are committed to producing and distributing this directory to provide effective linkages between customers and suppliers.

About the NMI : The NMI is the premier trade association representing Electronic Systems, Microelectronics and Semiconductor Communities. We are primarily focussed in the UK and Ireland but with many other international links. Its objective is to help build and support a strong electronics community by acting as a catalyst and facilitator for both commercial and technological development. Our members find that the more they participate the more they benefit. A not-for-profit organisation funded by its members, the NMI has a membership that spans the supply chain and includes electronic systems, fabless semiconductor manufacturers, semiconductor manufacturers, suppliers, foundries, design services, IP providers, business associates, research and academic institutions.

Want to find out more? :

If you are interested in finding out more about NMI and its activities, please visit our web site www.nmi.org.uk.

NMI promotes its members across many stakeholder groups and encourages a professional business code of conduct.

NMI Manufacturing contact:

David Law MSc Director of Manufacturing Services [email protected] 01506 401212 07739 427767

Page 4: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

4

Benefits of being a member of the NMI Supplier Group include : Invitations to participate in exhibitions at manufacturing sites and

NMI technical conferences. Preferential rates to attend and exhibit at international trade shows. Opportunities to attend business networking events where

knowledge is shared and business opportunities can be explored. Trade body representation within the Electronics industry at local

and national Government levels. Inclusion in this “NMI Manufacturing Suppliers Directory” which is

circulated to our Equipment Engineering, Purchasing and Facilities Engineering contacts.

If you are a supplier and would like to join us then please contact your NMI Supplier Contact, Mark Hodgetts, using the details below :

Dr Mark Hodgetts Supplier Group Manager [email protected] 01294 554946 07956 516076

ABOUT THE NMI SUPPLIER GROUP

Page 5: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

5

NMI wish to congratulate the firms, who are shown above, as they were voted by the manufacturing sites to be the top ten suppliers in 2013 within our sector. Memsstar came out on top and were awarded the Manufacturing Supplier of the Year. Maintaining a high performing infrastructure is essential to support a healthy electronics sector within the UK. The top ten suppliers were identified by asking our manufacturing sites to rate all NMI Supplier members in the following areas:

Customer Responsiveness

Quality of Products

Criticality of Product to Business

Continuous Improvement Activities to Improve Products or Services

The NMI Supplier of the Year award was given to Memsstar at the NMI Gala Awards Dinner 2013 in London. Pictured are Derek Boyd (NMI), Bruce Dickson (Memsstar), Alan Lambie (International

Rectifier) & David Gold (International Rectifier)

MANUFACTURING SUPPLIER RECOGNITION AWARDS 2013

Page 6: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

6

3M …………………………………………….. 12 40:30 ……………………………………… 13 Adamant UK ……………………………….. 14 Aixtron ……………………………………….. 15 Almond Engineering …………………. 16 AnCuig Management Services…….. 17 ASE (Europe) Inc …………………………. 18 ATEEDA ……………………………………… 19 Batchbuild …………………………………. 20 Brooks Automation ……………………. 21 Busch …………………………………………. 22 Chips in Training …………………………. 24 Compugraphics ………………………… 25 Converter Technology ………………... 26 Coretest Technologies ……………….. 27 Deerns UK ………………………………….. 28 Defect Detection Technologies …... 29 Delta Microelectronics ………………. 30 Deposition Technology ……………… 31 DFT Solutions ……………………………… 32 Ebara Precision Machinery Europe.33 EDEX Systems …..………………………… 34 Eltek Semiconductors …………………. 35 Enterprise Q …………………………….…. 36 European Plasma Technology……… 37 EuroSemi……………………………………. 38 Exception EMS…………………………….. 39 Exception PCB ………………………….…. 40 FEI Company ………………………………. 41 Fluidic ………………………………………… 42 Fourteen Technology ……………….… 43 GAN Systems ……………………………... 44 Global Technologies …………………... 45 Highland Scientific ……………………... 46 Ichor Systems …………………………..… 47 Ingenious Quality …………………….…. 48 Intellectual Capital Group……………. 49 Ion Beam Services ………………………. 50 IQE Europe …………………………………. 51 John G Peck ………………………………… 52 KMG Ultra Pure Chemicals …………. 53 Logitech ……………………………………… 54 Logos Logistics ……………………………. 56 Loughborough Surface Analysis….. 58 LTX Credence ……………………………… 59 Lynbond 2000 …………………………….. 60 Maser Engineering …………………….. 62 Maxim Integrated ………………………. 64 MCS ……………………………………………. 65 Megatech …………………………………… 66 Memsstar …………………………………… 67 Metryx ……………………………………….. 68 Mfg Vision …………………………………. 69 Microlease Finance ……………………. 70 Micross Components …………………. 72

COMPANIES INDEX

Multi-Lab …………………………...……… 73

MVTS Technologies ……………………. 74 Nanoscope Services …………………… 75 Nor-Cal ………………………………………..76 NTEK Consultants ……………………… 77 Oclaro…………………………………………..78 Optocap ……………………………………. 79 Patina Lighting ……………………………. 80 Phasix ESD………………………………….. 81 Photronics ………………………………… 82 Presto Engineering……………………. 83 Pulse Power & Measurement …… 84 Pure Wafer ……………………………….. 85 Reliability Consultancy ……………… 86 Reliability Solutions ………………….. 87 Reltech …………………………………... 88 Retronix ……………………………………. 89 RF Global Solutions …………………… 90 RF Support ………………………………… 92 Rohde & Schwarz ………………………. 93 Roodmicrotec …………………………… 94 S3 Alliance ………………………………... 95 Sanmina ……………………………………… 96 Savantech ……………………………….… 97 Scotech …………………………………….. 98 Scottish Microelectronics Centre.. 99 Sel-Tek ………………………………………. 100 Semefab……………………………………….101 Semtech …………………………………….. 102 Serma Technologies ………………….. 103 Silera ………………………………………….. 104 Simple Technical Solutions (STS)… 105 Sistem Technology ……………………. 106 Siventure ………………………………….. 107 Smartest GMBH ……………………….. 108 Solutions Driven…………………………. 109 Spectron Gas Control Systems…….. 111 SPS …………………………………………….. 113 SPTS …………………………………………… 114 Stepper Technology …………………. 116 STFC Rutherford Appleton Lab….. 118 Supply Design …………………………….. 119 Synergie CAD ……………………………….120 Teknis ………………………………………… 121 Teradyne ………………………………….. 122 Tetreon …………………………………….. 124 Tokyo Electron Europe ……………… 126 Trymax ……………………………………….. 128 Tyndall National Institute ………….. 130 U4 Global Solutions …………………… 131 Wafer Technology …………………….. 132 Waferdata ………………………………….. 133 Warwick Analytics ………………………. 134 Westerwood (WG) Global …………. 135 Wordentec …………………………………. 136

Page 7: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

7

DELTA Microelectronics DfT Solutions Ebara eDEX Systems Eltek Global Technologies Ichor Systems Ingenious Quality Intellectual Capital Group Ion Beam Services KM Ultra Pure Chemicals Maser Engineering MCS Megatech Microlease micross components Nanoscope Services Phasix ESD Presto Engineering Europe Reliability Consultancy Reliability Solutions Retronix Semiconductor RF Global Solutions RF Support Sanmina Savantech SERMA Technologies Silera Sistem Technology Smartest GmbH Solutions Driven Supply Design Teknis Tetreon Technologies Tyndall National Institute Warwick Analytics Wordentec EQUIPMENT SALES & REFURBISHMENT Aixtron CoreTest Technologies Deposition Technology Ebara eDEX Systems Enterprise Q Eursemi FEI UK Fluidic GaN Systems Global Technologies Ichor Systems Ion Beam Services Logitech LTX Credence Megatech memsstar Metryx Microlease Multi-Lab

ANALYTICAL TOOLS AND SERVICES

FEI UK Ichor Systems KM Ultra Pure Chemicals Logitech Loughborough Surface Analysis Maser Engineering MCS Metryx Limited Mfg Vision Microlease Nanoscope Services Presto Engineering Europe Pure Wafer Reliability Solutions RoodMicrotec S3 Alliance Limited Sanmina Scottish Microelectronics Centre SERMA Technologies Silera SiVenture STFC Rutherford Appleton Laboratory Supply Design Teknis Tyndall National Institute Unisem (Europe) Warwick Analytics CLEANROOM CONSUMABLES

Deerns Lynbond 2000 S3 Alliance SiSTEM Technology Spectron GCS Teknis CLEANROOM SERVICES & FACILITIES

Eltek Semiconductors

Fluidic Highland Scientific Ichor Systems Micross components Retronix Semiconductor Sel-Tek Simple Technical Solutions (STS) SiSTEM Technology Spectron GCS SPS CONSULTANCY SERVICES

AnCuig Batchbuild Chips in Training Deerns

SERVICES INDEX SERVICES INDEX

MVTS Technologies NTEKLitho Pulse Power and Measurement Retronix Semiconductor RF Global Solutions RF Support S3 Alliance Scotech Sel-Tek Simple Technical Solutions (STS) SiSTEM Technology SPS SPTS Technologies Stepper Technology Teradyne Tetreon Technologies Tokyo Electron Europe Trymax U4Global Solutions Wordentec

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES 3M 40-30 Adamant UK Aixtron CoreTest Technologies Deposition Technology eDEX Systems Enterprise Q Eursemi FEI UK Fluidic Fourteen Technology Global Technologies Ichor Systems Ion Beam Services Logitech LTX Credence Megatech memsstar Microlease Multi-Lab MVTS Technologies Nanoscope Services Nor-Cal UK NTEK Litho Pulse, Power & Measurement RF Global Solutions RF Support S3 Alliance Scotech Sel-Tek Simple Technical Solutions (STS) SiSTEM Technology SPS SPTS Technologies Stepper Technology

Page 8: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

8

Tetreon Technologies Tokyo Electron Europe Trymax U4Global Solutions Wordentec EQUIPMENT SUPPORT SERVICES 40-30 Aixtron Almond Engineering CoreTest Technologies Deposition Technology Ebara eDEX Systems Eursemi Global Technologies Highland Scientific Ichor Systems Ion Beam Services KM Ultra Pure Chemicals Logitech Logos Logistics LTX Credence Megatech memsstar Microlease Finance MVTS Technologies Nanoscope Services NTEK Litho Phasix ESD Presto Engineering Europe Pulse Power and Measurement Retronix Semiconductor RF Global Solutions RF Support S3 Alliance Scotech Sel-Tek Simple Technical Solutions (STS) SiSTEM Technology Smartest GmbH SPTS Technologies Stepper Technology Teradyne Tetreon Technologies Tokyo Electron Europe Trymax U4Global Solutions Westerwood (WG) Global Wordentec MATERIALS/CHEMICALS 3M Adamant AnCuig Enterprise Q Ichor Systems IQE Europe KM Ultra Pure Chemicals Logitech

Multi-Lab Pure Wafer S3 Alliance Limited Scotech SERMA Technologies SiSTEM Technology SPS Teknis OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES) Chips in Training Eltek Ichor Systems IQE Europe memsstar Retronix Semiconductor RF Global Solutions RF Support Scottish Microelectronics Centre Sel-Tek Westerwood (WG) Global Wordentec PACKAGING 3M Adamant ASE (Europe) Inc. Batchbuild Chips in Training Eltek Semiconductors Exception PCB KM Ultra Pure Chemicals Logos Logistics Maser Engineering Micross components Optocap SERMA Technologies Silera Teknis PHOTO MASKS Compugraphics International Photronics UK Silera R&D SERVICES Adamant Batchbuild Eltek Semiconductors Enterprise Q Exception EMS GaN Systems Global Technologies Ion Beam Services IQE Europe KM Ultra Pure Chemicals

Maser Engineering MCS Memsstar Microlease Micross components Multi-Lab Nanoscope Services Oclaro RF Global Solutions Sanmina Scottish Microelectronics Centre SERMA Technologies Silera Sistem Technology Smartest GmbH Supply Design Teknis Tyndall National Institute RELIABILITY TEST Batchbuild CoreTest Technologies Eltek Semiconductors Exception EMS Ichor Systems LTX Credence Maser Engineering MCS Microlease micross components Nanoscope Services Phasix ESD Presto Engineering Europe Reliability Solutions Reltech Sanmina SERMA Technologies Silera Tyndall National Institute RF RELATED SERVICES 40-30 Adamant CoreTest Technologies Global Technologies LTX Credence Memsstar Microlease Presto Engineering Europe Pulse Power and Measurement Retronix Semiconductor RF Global Solutions RF Support Sanmina Sel-Tek SiSTEM Technology Smartest GmbH Tyndall National Institute Wordentec

Page 9: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

9

SOFTWARE AND AUTOMATION

Ateeda Batchbuild Brooks Automation CoreTest Technologies Deposition Technology eDEX Systems Global Technologies Pulse Power and Measurement Savantech SiSTEM Technology Smartest GmbH SPS Stepper Technology Teknis Tyndall National Institute Warwick Analytics TESTING Ateeda ASE (Europe) Inc. Batchbuild CoreTest Technologies DELTA Microelectronics Deposition Technology Eltek Semiconductors LTX Credence Maser Engineering MCS Microlease micross components MVTS Technologies Phasix ESD Presto Engineering Europe Pulse Power and Measurement RoodMicrotec SERMA Technologies Silera Smartest GmbH Supply Design Synergie CAD Teknis Teradyne Tyndall National Institute Warwick Analytics TRAINING Chips in Training Deposition Technology DfT Solutions Ebara eDEX Systems Eltek Global Technologies Ichor Systems KM Ultra Pure Chemicals Logitech LTX Credence

Maser Engineering Nanoscope Services Phasix ESD Reliability Consultancy Reliability Solutions Retronix Sanmina SERMA Technologies Silera SiSTEM Technology Smartest GmbH Teknis Trymax Tyndall National Institute Westerwood (WG) Global VACUUM RELATED EQUIPMENT & SERVICES Brooks Automation Busch Semicon Deposition Technology Dockweiler UK Ebara Precision Machinery Europe Global Technologies Ichor Systems Logitech Megatech memsstar Nanoscope Services Retronix Semiconductor RF Global Solutions RF Support Scotech SiSTEM Technology Tetreon Technologies Trymax Wordentec

Busch 23 Enterprise Q 140 Global Technologies 123 Ichor Systems 139 Logos Logistics 57 Lynbond 2000 61 Maser Engineering 63 Microlease 71 Multi-Lab 2 NMI 10, 135 Retronix 139 Rf Global Solutions 91 Semicon 11 Spectron GCS 110 SPS 112 Stepper Technology 117 Tetreon 125 Tokyo Electron Europe 127 Trymax 129 U4 Global 115

Ad

vertisers in

dex

Page 10: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 11: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 12: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

12

Contact Elisabeth Thomazeau

Telephone 01344-858-332

Email [email protected]

Website www.3m.co.uk

3M Electronics are a materials technology components & packaging manufacturer. 3M specialises in Wafer processing and handling, Interconnection and Thermal Management solutions for the Electronics and Semiconductor industry.

3M provides a comprehensive range of solutions for the electronics market in the UK and Ireland, including components for manufacture,electronic assembly, design, packaging and maintenance. Some of the application areas addressed by the product portfolio include OEM, battery performance, PCB and semiconductor fabrication, plus vertical markets such as medical device technology, industrial automation, test and measurement, consumer electronics, automotive and military/aerospace. Example product platforms include cleaning, cooling and coating fluids, interconnect products, cable assemblies, adhesives, tapes, packaging , thermal management and static control solutions

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES MATERIALS & CHEMICALS PACKAGING

Page 13: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

13

Contact Tony Howard

Telephone 01652 640777 / 07584 685303

Email [email protected]

Website www.40-30.com

For more than 25 years, 40-30 have focused activities on high-tech equipment carrying out maintenance and repairs. Being an extraordinary company, our scope of service and maintenance covers a wide range of technologies, electronics, vacuum, gas control, RF, calibration....

However since 2006 we have undertaken significant changes to meet our customer's new strategic and economic demands.

In 2011, 40-30 were faced with a challenge that transformed the organisation into seven Business Units offering partners and clients a vast amount of knowledge and services in terms of know-how, materials, specifically designed test benches, tooling and human resources.

In addition to our Maintenance divisions, 40-30's cornerstone, we have introduced Engineering, Spares Center, Training, local business units with our most recent facility based in the UK providing additional support to customers and suppliers alike.

Services: 40-30 is capable of servicing wet and dry vacuum pumps, turbo's, cryo's, valves, system sub components for most process machines in 4", 6", 8" and 12".

We are experts in the field of non-destructive testing and are registered to COFREND standards. We also have leak detection repair capabilities for Adixen, Inficon and Balzer systems.

We have a web based parts centre where customers can purchase, trade or even rent. All components carry a three months warranty and in certain cases carry test certifications

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES RF RELATED SERVICES

Page 14: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

14

Contact Fiona Moring

Telephone 07833 489097

Email [email protected]

Website www.adamant.jp/en/

Adamant UK Co., Ltd is part of Namiki Group that was founded in 1939. Adamant is a private

company with a global presence. The HQ is in Japan with production in Japan, China & Thailand

and offices in Asia, USA and Europe.

They make fine precision and quality products processed at submicron levels using their core

technologies of precision cutting, grinding and polishing. Their many products include sapphire

wafers, fibre optic components (ferrules & sleeves), MEMS, LTCC, HTCC.

Adamant has a liaison office in the UK.

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES MATERIALS /CHEMICALS PACKAGING R&D SERVICES RF RELATED SERVICES

Page 15: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

15

Contact Tony Pearce

Telephone 01223 519444

Email [email protected]

Website www.aixtron.com

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES

AIXTRON is a leading provider of deposition equipment to the semiconductor industry. The Company's technology solutions are used by a diverse range of customers worldwide to build advanced components for electronic and opto-electronic applications based on compound, silicon, or organic semiconductor materials, as well as Carbon Nanotubes (CNT) and other nanomaterials. Such components are used in flat panel display applications, solid state lighting, organic semiconductor devices such as OLED, OPV, and OTFTs, for functional polymer thin films, energy harvesting and storage, fibre optic communication systems, wireless and mobile telephony applications, optical and electronic storage devices, computing, signalling and lighting, as well as a range of other leading-edge technologies. AIXTRON's product portfolio for compound semiconductors includes:

MOCVD Equipment (Metal Organic Chemical Vapour Phase Deposition) for deposition of

GaAs, InP and GaN based materials for electronic and optoelectronic applications

OVPD® Equipment for OLED display, OLED lighting, and organic semiconductor solutions,

PVPD(TM) Equipment for carrier-gas enhanced vapour phase in-situ polymerization for functional films,

ALD Equipment (Atomic Layer Deposition ) for Logic, DRAM, NVM, Flash and MIM

applications,

CVD and PECVD systems for the deposition of Carbon Nanotubes and Nano-fibres and combinations thereof for advanced hybrid structures.

AIXTRON’s UK operations specialise as a Technology Centre for MOCVD Equipment based on Close Coupled Showerhead® technology. This area of expertise is core to the groups’ high volume, state of the art MOCVD production systems. Demand for such systems are driven by the increasing adoption of LEDs for lighting applications, GaN power electronics and other technologies. Lab-scale MOCVD systems are also designed and manufactured in the UK, which are destined for leading compound semiconductor research customers around the world. Additionally, the Nanoinstruments team specialises in the design, development and manufacture of CVD systems for the deposition of CNT and graphene.

Page 16: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

16

Contact Tom McNicol

Telephone 01506 410880

Email [email protected]

Website www.almond.co.uk

Almond Engineering Limited is a well established precision engineering supplier to a comprehensive range of industries. They include pharmaceutical, medical, BME, semiconductor, electronics, aerospace, food and packaging industries.

Working in partnership with our customers, a dedicated team of engineers will manage your project from the initial concept through design, to final manufacture of a customised product.

Established customers have come to rely upon our quality, flexibility, professional service and reputation for all their manufacturing needs.

Using the latest Pro/Engineer Wildfire 3 computer aided design and off-line prismatic and surface machining, our experienced design team will produce innovative solutions for your individual manufacturing needs.

Recent investments in new CNC milling and turning centres, and off-line CNC programming has increased our capacity to service new and existing customers.

Services:

CNC Milling and Turning 3D CAD Design Machine Building Replacement Machine Parts Spares and Repairs Reverse Engineering Toolmaking Welding and Fabrication Storage and Handling Systems Project Management Rotational Mould Clamps and Accessories Resolving Health and Safety Issues

EQUIPMENT SUPPORT SERVICES

Page 17: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

17

Contact Connell Boyle

Telephone +447528936595

Email [email protected]

Website www.ancuig.com

Based on 25 years of experience supplying the Semiconductor Industry. AnCuig's mission is to deliver superior sales, customer service, and value adding applications engineering to Semiconductor and related manufacturers, who use speciality, production and laboratory chemistries, also cleanroom and controlled environment consumables.

Cleanroom Consumables : We carry a full range of controlled environment products and offer local stocking, distribution and where appropriate consignment stock arrangements.

Ultra Pure Process Chemicals : We provide a wide range of process chemicals including

hydrogen peroxide, acids, bases and solvents.

Wafer Cleaning Chemistries : We provide specialised wafer cleaning chemistries – for example, Photo Resist Strippers, Post Etch Residue Removers, PCMP cleaners. For further details on our current product portfolio please contact us.

Supply Chain Management : We pride ourselves in delivering a world-class, bespoke supply-chain service, shipment of superior substances not only on time and in budget but also through cost-effective payloads, saving on storage and handling controlling costs..

Pre & After Sales Support : We pride ourselves in delivering an attention to detail essential to the sales process in a precision industry, meticulous planning, evaluation and management from sourcing, testing, delivery through to application and beyond.

A Bespoke Offering, from Sales Service to an Integrated Asset : AnCuig is entirely customer

orientated, We will work from an individual project-by-project basis, all the way through to acting as an additional department to our business partners, adding value at every stage of the process, supplementing our clients’ in-house resource and expertise, on standby throughout today’s 24/7 business cycle.

Expertise & Advice : From independently investigating cutting-edge materials, products and processes to analysing in-house procedures – seeking-out weaknesses and converting them into value-added strengths – AnCuig offers cost-effective, efficient and energetic expertise, generating genuine net worth well in excess of the cost of its services.

CONSULTANCY SERVICES MATERIALS/CHEMICALS

Page 18: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

18

ASE (EUROPE) INC

Contact Juliette Handwerker / Dr Bradford Factor

Telephone +32 2 647 8461

Email [email protected]

Website www.aseglobal.com/

ASE Europe Inc. is part of ASE Group. ASE Group develops and offers a wide portfolio of technology and solutions including IC test program design, front-end engineering test, wafer probe, wafer bump, substrate design and supply, wafer level package, flip chip, system-in-package, final test and design manufacturing services. ASE Group incorporates multi-national production/test facilities in Taiwan, Korea, Malaysia, Singapore, China, US and Europe and sales and service offices world-wide. Members of ASE Group include: Advanced Semiconductor Engineering Inc. (www.aseglobal.com) (TAIEX: 2311, NYSE: ASX), a leading supplier of semiconductor assembly services. ASE Electronics, a leading supplier of substrates and packaging materials. Universal Scientific Industrial Inc. (www.usi.com.tw), a leading electronic manufacturing service provider. ISE Labs (www.iselabs.com), a leading front-end engineering test company based in Silicon Valley, California USA. ASE Europe Inc. Waterloo Office Park Building M Drève Richelle 161 Box 23 B-1410 Waterloo, Belgium

PACKAGING TESTING

Page 19: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

19

Contact David Hamilton

Telephone 0131 272 2754

Email [email protected]

Website www.ateeda.com

ATEEDA’s Analog semiconductor BIST cores reduce test cost and simplify test access, carrying out production test for ADCs and DACs on digital testers. Our IP is proven in silicon as meeting or exceeding the capability of standard production test, and is available from 180nm down to 28nm and beyond. LinBIST tests video and high-speed ADCs and DACs, while WaveBIST tests audio and high-resolution converters. A special EDA tool generates optimised IP based on test requirements, which the customer can then design-in using standard tool flows. We also supply test-benches for verification and software that characterises silicon performance using a simple PC-based GUI. ATEEDA BIST: Straightforward integration and fast, simple chip testing.

SOFTWARE & AUTOMATION TESTING

Page 20: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

20

Contact Jeff Pickering

Telephone 01722 711142

Email [email protected]

Website www.batchbuild.co.uk

Fast Track Prototyping

Box Build Assembly and Test

Build to Print Manufacture

Product Lifecycle Management

Special To Type Test Equipment

Batchbuild provides specialist manufacturing solutions for technology products in highly regulated sectors including Medical, Defence, Aerospace and Automotive. We offer a complete range of services from wire preparation to PCB assemblies to fully assembled and tested products ready to ship to you or your clients. From product concept to production, you will benefit, irrespective of job size, from our consolidated purchasing power and excellent service from skilled operators and engineers. Our manufacturing facility maintains ISO 9001:2008 accreditation along with ISO 13485:2003 medical approval. At Batchbuild we pride ourselves on the excellent working relationships we develop with our clients, and our award-winning capabilities to deliver a successful outcome, whatever the project.

Batchbuild is the trading name for the manufacturing arm of ML Electronics Ltd

CONSULTANCY SERVICES PACKAGING R&D SERVICES RELIABILITY TESTING SOFTWARE & AUTOMATION TESTING

Page 21: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

21

Contact Craig Cooper

Telephone +49 3461 65 4000

Email [email protected]

Website www.brooks.com

A leading worldwide provider of automation, vacuum and instrumentation solutions to the global semiconductor and related industries. Our products and services are meeting the needs of customers across a broad spectrum of applications and industries and the global semiconductor manufacturing sector is our largest served market. When demanding productivity and availability objectives are essential factors for success, customers throughout the world turn to Brooks Automation, Inc.

Services

Market Segments: : Systems Solutions,- Vacuum Robots & Modules - Vacuum Systems -

Flat Panel Systems - Atmospheric Robots & Modules -Atmospheric Equipment Front Ends - CMP & Wet Robots - Automation Modules including Linear Tracks, Pre-Aligners & Mappers - FOUP Load Port Modules - SMIF Load Port Modules and Platforms - Advanced Material Handling System (AMHS) - Airflow Controllers - Environmental Systems - Reticle Stockers - Reticle Macro Inspection - RFID Readers and Gateways - RFID Tracking - Customer-Designed Automation

Critical Solutions : Cryogenic High-Vacuum Technology - Vacuum Measurement Solutions -

Cryogenic Refrigeration Systems

Global Customer Operations : Guaranteed Up-Time Support (GUTS®) - TrueBlue® Service Agreements - Alignment and Calibration - Fixed Price Repair - Spare Parts - Technology Performance Upgrades

Industry Participation : Brooks is actively involved in the definition and on-going development of semiconductor industry standards and guidelines through its work on SEMI, SEMATECH, and 300mm industry standards committees. The Company is also a member of the Semiconductor Industry Suppliers Association.

SOFTWARE AND AUTOMATION VACUUM RELATED EQUIPMENT & SERVICES

Page 22: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

22

Contact David Black

Telephone 01355 570201

Email [email protected]

Website www.busch.co.uk

Busch are a market leader in vacuum technology with many years of extensive experience in the industrial vacuum and overpressure market.

We provide advice and support from selecting the pump through to after sale service support. As a manufacturer of vacuum pumps and systems for use in the rough, medium and high vacuum markets the company policy has always been customer orientated, supplying high quality and competitively priced vacuum pump products, as well as excellent technical support and service backup.

Busch (UK) Limited has been named as Top 10 supplier in 2011 by member companies of the semiconductor industry! In addition we were the only vacuum pump manufacturer and service provider who has made it into the ranks!

Based both in the UK and Ireland we have sales & service centres in Telford, Crewe, East Kilbride and Dublin along with our experienced on-site field service engineers providing 24/7 support.

Our dry pump product range of reliable and robust dry screw pumps (COBRA) includes the air cooled BOB version for light to medium applications, and our Cobra DS range for use in medium to harsh duty ranges and for all fine vacuum applications - semiconductor, photovoltaic's, R&D, thin film coating and MEM's.

Our vacuum pump service centres offer help and advice on all aspects of after sales care, not only for Busch pumps but also for any other manufacturer.

With a first class team with over 50 years of experience and the latest technology, we are the experts in providing solutions in the area of vacuum. We also have experience servicing high vacuum turbo molecular and cryogenic vacuum pumps.

VACUUM RELATED EQUIPMENT AND SERVICES

Page 23: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 24: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

24

CHIPS IN TRAINING

Contact Lea Caddick

Telephone 0755 299 4660

Email [email protected]

Website www.chipsintraining.co.uk

Chips In Training ("CIT") is an independent organisation providing learning and

development opportunities primarily to the UK Education sector and

Semiconductor affiliated companies regarding Microchip assembly and testing

techniques.

CIT was founded in December 2013 following the closure of Europe's leading

sub-contract Semiconductor Assembly & Test facility in South Wales, UK. Its

founder, Lea Caddick, believes all children in education right through to

industry professionals who have no concept on how "chips" are made should

be made aware of this fascinating area of today's technology.

CIT principal aims are to promote the learning of how Semiconductors/

Microchips/ Integrated Circuits or IC's are assembled and tested, and the chain

of events needed to occur before such components eventually end up in their

final desired application.

CONSULTANCY SERVICES OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES) PACKAGING TRAINING

Page 25: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

25

Contact Irene McMenamin

Telephone +44 (0)1592-772557

Email [email protected]

Website www.compugraphics-photomasks.com

Compugraphics, the business partner of choice to the world’s leading companies in the

semiconductor, microelectronics and optoelectronics industry. The company provides integrated

photomask solutions and has a reputation for technical expertise and the quality of services

provided from the 3 manufacturing facilities in Scotland, Germany and the USA.

PHOTO MASKS

One of longest established mask manufacturers in the world, it is the

No1 supplier of large area masks in Europe and alongside the

company’s international customers, it probably provides more masks

to the Universities of the world than any other supplier. From

production of advanced reticles to 1X Masters, we offer the most

comprehensive 'mix-n-match' flexibility with 2 facilities in Europe and

full transatlantic manufacturing capability. Services: Strategic and

continuous investment has enabled us to meet customers’ advanced

needs and contribute to their competitive edge through provision of:

binary lithography - phase-shift mask technology - reticle repair and

recertification - advanced electron beam pattern generation - fast laser

pattern generation - near wavelength inspection strategies - 1 x

photomasks from 3"" to 32” - 4X & 5X reticles - chrome and iron oxide

copies - photomask cleaning

Markets: Semiconductor, microelectronics, optoelectronics, R&D

Institutes and Universities worldwide

Page 26: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

26

Contact Ian Mosely

Telephone +44 (0)118 3219312

Email [email protected]

Website www.convertertechnology.co.uk

Converter Technology provides a wide range of design support services to customers wanting to exploit the performance capabilities of the latest high performance switched-mode power converter technology.

We engage in a number of different ways with our client base:-

Customers with their own power designers use us as adaptable resource to manage the work load of their in-house capability. We can act as an independant third party to review and test your own design before release to production

Some customers prefer to outsource the complete power design process since this allows them to focus their engineers on the core development of their new product. We are happy to act as a remote power design team for your company which gives you a unique combination of the best technical advice and maximum flexibility

Some customers prefer to keep all design activities in house and in these cases we can provide expert training courses in all areas of power conversion. This keeps your staff up to date with latest design techniques and technologies

SEE WEBSITE FOR MORE DETAILS

Page 27: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

27

Contact Chris Rogalski

Telephone +44 (0)7946381258

Email [email protected]

Website www.coretest.co.uk

CoreTest Technologies Ltd. provides Semiconductor Test Equipment to IDMs, test houses and

fabless companies. We supply test equipment for back end production development and

engineering activities including ATE and tooling for package and wafer test. Through our global

network of distributors we can supply and support customers wherever you operate in the world.

Customers include most European and global semiconductor manufacturers.

Our products and services include:

Test head manipulators, docking & signal towers (digital, mixed Signal & RF) manufactured in

the UK by TEST SOLUTIONS EUROPE

Bench Top Temperature forcing systems, -55C to +250C from MECHANICAL DEVICES

Test sockets & fixtures for all package and device technologies including optical devices and RF

to 40GHz - EQUIPTEST (EQT)

High performance low cost digital & mixed signal Semiconductor ATE - MU TEST

PXI based Semiconductor Test low cost ATE for all product types - Marvin Test Solutions

ATE for power devices and modules, low to very high power by CREA Test Systems

ATE Test Modules for Burn-In Board and use in MEMS actuation handlers - EURYLLIUM

Load board and burn-in board restoration - NU SIGNAL - Automation solutions for

Semiconductor Test including assembly of modules, including Pick and Place Handlers, Visual

Inspection - Designed and Manufactured in UK by PROTRONIX.

Our experience covers high speed digital, analog, power, RF & microwave, optical, sensors, PCB design, transmission lines. Markets we work in include aerospace, space, defence, medical, commercial, communications, power, PV & solar. We will work with you to ensure we meet and exceed your requirements and specifications. CoreTest has grown year on year and prospects for the future are good. CoreTest has a CNC manufacturing workshop available in UK and uses industry standard design tools for PCB and mechanical design.

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES RELIABILITY TEST RF RELATED SERVICES SOFTWARE AND AUTOMATION TESTING

Page 28: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

28

Contact Ian Tonge

Telephone 07753 771498

Email [email protected]

Website www.deerns.co.uk

Deerns UK Ltd is an engineering consultancy. We specialise in projects for technology-intensive industries, universities and research organisations.

We have significant experience in semiconductor fabs, R&D facilities, nanotechnology facilities, cleanrooms, data centres, bio-safety labs.

Services include:

Design – New facilities and upgrades

Energy audit and consulting

BREEAM and LEED

Project management

Facility & Asset Condition assessment

CLEANROOM SERVICES & FACILITIES CONSULTANCY SERVICES

Page 29: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

29

Contact Information

Telephone 0121 352 1188

Email [email protected]

Website www.ddtek.co.uk

We offer advice and solutions to inspection and testing needs for a wide range of industries and academic institutions, including micro-electronics, power-electronics, research, aerospace, automotive, composite, ceramic, defence, life sciences, and material manufacturing companies. With over 30 years experience in the field of non-destructive testing, solving testing needs - specialist areas include: High resolution and high contrast x-ray microscopy for 3 & 4D imaging to resolutions as low as 0.7 µm and 50 nm – Carl Zeiss X-ray Microscopy. Infrared thermography cameras, lenses, and systems for high resolution imaging of micro and power electronics. Defective or underperforming semiconductor devices often show an anomalous distribution of the local power dissipation, leading to local temperature increases. IR Thermography is used accurately and efficiently locate these areas of interest – DCS System

SEE WEBSITE FOR MORE DETAILS

Page 30: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

30

DELTA MICROELECTRONICS

Contact Leanne Baker

Telephone 01443 866322

Email [email protected]

Website www.delta.dk

Getting technology profitable

DELTA is a company with a uniquely practical determination to help you get advanced technologies

working exactly as intended, and bring them to market quickly and efficiently. We're the people you

contact to get complex technological challenges dealt with effectively - and within a manageable

budget. Our success comes from our know-how helping others be successful.

Total transparency

Technology only earns money when it works. That's why we help you develop new solutions, test

prototypes, fine-tune existing technologies and tackle thorny, unforeseen problems. All

transparently and helpfully and involving you and your staff just as much as you want.

Killer know-how

DELTA consists of separate business units that specialise in electronics, microelectronics, light,

optics, acoustics, vibration and sensors. We combine unsurpassed expertise in each field with top-

flight interdisciplinary teams - as and when required.

In each of these fields, we're one of the most reputable development and testing centres you can

find. This means we can help you pave the way to certified compliance with crucial national and

international requirements, with full follow-up as and when required.

Exceptional attitude

DELTA is also one of the world's more unusual development and testing centres. The whole DELTA

complex is bubbling with enthusiasm for pushing the technology envelope and tackling any

challenges that arise.

CONSULTANCY SERVICES TESTING

Page 31: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

31

DEPOSITION TECHNOLOGY

Contact Rob MacKenzie

Telephone 01548 856000

Email [email protected]

Website www.dep-tech.co.uk

Deposition Technology Ltd supplies and supports Varian and Novellus PVD and PECVD equipment. We will supply complete systems configured to match your specification as well as support your existing installed base. Our support includes spare parts, upgrades, PLC control systems, training, service support, process support and service contracts. Our customer base is truly global and we can support your systems regardless of location. In January 2013, Deposition Technology were pleased to announce the acquisition of the world wide license to manufacture and support the :

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES SOFTWARE AND AUTOMATION TESTING TRAINING VACUUM RELATED EQUIPMENT & SERVICES

KESA ECS-3000 Control System

As used in the Varian 3000 Series PVD Systems, Kesa Corporation, founded by the late Steve Gehlback, developed the control system in conjunction with Varian Thin Film Systems. With immediate effect, Deposition Technology are supporting the ex-isting installed base and will invest in the ECS-3000 to develop it further. This strategic acquisition allows Deposition Technology to evolve the control system as well as implement some software driven improve-ment that will appeal to both existing and new users. Any enquiries regarding this product should be directed to [email protected]. For general enquiries, please contact us using the details at the top of the page.

Page 32: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

32

EBARA PRECISION MACHINERY EUROPE

Contact Eric Cormack

Telephone +44 (0) 7515 288155

Email [email protected]

Website www.dft-solutions.co.uk

DfT Solutions Limited provides Design-for-Test(DfT) Training and Consultancy across IC, Board and System test to the Electronics

Design communities world wide.

Eric has over 30 years of experience in the field of design-for-test. He has implemented a wide range of DfT solutions in IC, board, Soc and MCM level test. Eric started his career working with military applications for the UK MoD, implementing customised board level design-for-test solutions.

He moved on from there to establish a test services company, Testech, in the USA for his UK based company providing a wide range of services from DfT consultancy in customer designs to full turn-key packages, delivering tester hardware and test program suites in ICT and Functional Test for a variety of ATE platforms.

Eric has implemented custom scan and BIST based solutions at IC level, boundary scan at IC, SoC and board level, and has developed customised test and diagnostic solutions for MCM applications in satellite systems. Eric has over 12 years experience in the semiconductor industry working for Hitachi and Philips/NXP.

Consultancy - DfT Solutions Limited offer a range of test technology and test strategy development consultancy services tailored to solve your Design-For-Test (DFT) and production test challenges.

Contact our experts for an evaluation of your needs and honest view of how DfT Solutions Limited can assist. Experts will work within your organisation to identify and resolve root cause issues preventing you achieving your engineering, quality or test cost goals.

DFT reviews and analysis Board Design for Testability Test strategy development Board Use of IEEE 1149.1

Design proving - Board Test Development - Production test - SJTAG and its use in

today's environment.

CONSULTANCY SERVICES TRAINING

Page 33: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

33

Contact Alex Holding

Telephone 07768025470 / 01506 460232

Email [email protected]

Website www.ebara-pm.eu

EBARA Precision Machinery Europe offers state of the art products and services for manufacturing processes of the semiconductor, photovoltaic industry and related industries like FPD, LED and MEMS.

Headquarters: Hanau (near Frankfurt), Germany

Offices and facilities: • Germany: Dresden • Ireland: Dublin, Lisburn Northern Ireland • France:

Evry, Meyreuil, Grenoble • Austria: Graz • Israel: Migdal Haemeq, Kiryat Gat • UK: Livingston,

Lincoln.

Livingston UK is the European Overhaul Centre equipped with full decontamination facility to accommodate the semiconductor and general vacuum market in the service and repair of all makes and models of the following pump types - Dry Pumps, - Booster Pumps, - Rotary Vane Pumps, - Piston Pumps, - Diaphragm Pumps - Scroll Pumps - Turbo Molecular Pumps (TMP)

EBARA Precision Machinery Europe manufactures and sells Energy Efficient Vacuum products to the vacuum industry such as: Dry vacuum pumps • Turbo molecular pumps • Exhaust gas treatment • Ozone and Ozonized water generators and Vacuum accessories : EBARA Precision Machinery Europe manufactures and sells Vacuum systems to the vacuum industry such as: Wafer Processing Systems • Chemical Mechanical Polishing (CMP) • Electrochemical plating • Ultra fine plating (bump plating)

EBARA Precision Machinery Europe's reputation is based on proximity to customers, fast responsiveness, complete service coverage and its full commitment to quality and customer satisfaction.

CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SUPPORT SERVICES TRAINING VACUUM RELATED EQUIPMENT & SERVICES

Page 34: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

34

Contact Gregor Egan

Telephone 01782 597518

Email [email protected]

Website www.edexsystems.com

eDEX are specialist equipment designers for the medical and electronic industry. With 15 years

experience working in these industries, for major blue chip clients, eDEX can provide you with a

custom solution to your process problems, anywhere in the world..

MARKETS Our 'Standard' products include:

Manual wet chemical process stations manufactured in plastic or stainless steel

Semi automatic wet chemical stations.

Fully automatic robotic controlled.

SYSTEMS Our systems include:

Etching - Plating - Polishing - Cleaning - Coating - Drying

Systems can include full PC control with FDS compliant recording of information.

CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES SOFTWARE AND AUTOMATION TRAINING

Page 35: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

35

Contact Mike Jarvis

Telephone 07785 341322

Email [email protected]

Website www.eltek-semi.com

Founded in 1981 Eltek Semiconductors Ltd. has proudly supported the microelectronics industry with products and services of the highest standard.

Our facility in the South West of England supply certified and qualified components to the aerospace,defence, industrial, commercial, communication and automotive markets.

Elteks core skills are in procuring and processing semiconductor components in wafer, die and packaged form.

Continuing investment in facilities, equipment, and skilled personnel enables Eltek to provide cost effective solutions to critical issues such as:

Bare die procurement and qualification

Die presentation for automatic assembly

Special packaging and test

Component obsolescence

Plus :

Engagement with the technical networks especially those related to Foundry Link (DfM,

Q&R

Linking into fabless organisations

Eltek is committed to maintaining quality standards appropriate to microelectronic industry and

maintains ISO9001: 2008 and AS9100C accreditation.

CLEANROOM SERVICES & FACILITIES CONSULTANCY SERVICES OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES) PACKAGING R&D SERVICES RELIABILITY TEST TESTING TRAINING

Page 36: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

36

Contact Dan Cathie

Telephone +44 (0)161 777 4883

Email [email protected]

Website www.enterprise-q.co.uk

Enterprise Q is a Quartz glass fabricator based in Manchester, UK. We offer a full-scale Quartz

design & fabrication service and we help advise on the suitability of Quartz products for particular

applications.

We aim to be flexible in our methods, proposing a variety of options to help ensure continuity of

supply, short lead-times, and competitive pricing. We offer all standard drawing parts (process

tubes, wafer carriers, injectors, torches, baffles, thermocouple sheaths, etch baths), as well as

many other one-off or made-to-measure parts for R&D or Universities.

Through the support of a local UKAS certification lab, we also offer high quality thermocouples

(new or refurbished), as well as a calibration service.

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES MATERIALS/CHEMICALS R&D SERVICES

SEE OUR ADVERT ON THE BACK COVER

Page 37: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

37

Contact Simon Rolls

Telephone +44 1594 528282

Email [email protected] / [email protected]

Website www.eupt.co.uk

European Plasma Technology Ltd, founded 2003, is a global total solution

provider for GaSonics resist strip & HiPox tool sets, on-site, and at our UK

refurbishment and repair facility.

We offer a full range of cost-effective, client tailored services. All of our

engineers are fully OEM trained with 5+ years industry experience.

We have built up a strong client base through complete project management,

timely equipment repairs, on-schedule installations and above all, cost

effectiveness.

We have developed robust solutions for obsolete parts and are continuing to

develop more alternatives as required.

SEE WEBSITE FOR MORE INFORMATION

Page 38: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

38

EURSEMI

Contact Jim Doran

Telephone +44 7801 965211

Email [email protected] or [email protected]

Website www.eursemi.com

EurSemi Ltd. is a Sales and Account Management Company for the Semiconductor and Related industries with 25+ years of sales, customer service and account management experience. We work with established companies who do not have their own sales resource in the European region and are bringing EO Technical Solutions to the European Semiconductor market. EO Technical Solutions is the semiconductor industry’s No.1 for ASML parts and ASML parts rebuild services. Founded in 2009, our 6000 SF facility contains an in-house electronics repair shop capable of repairing and/or rebuilding most ASML parts, and a 1500 SF Class 1000 clean room dedicated to maintaining an ASML 5500/500 scanner and an ASML 5500/60 stepper for parts qualification testing.

Inventory of 2000+ parts. Continually sourcing new & replacement parts. Able to provide 90%+ of market requirements. Repairs & Exchange parts available for tool down situations. Unique repair, rebuild and testing gives us a < 3% DOA record. TCU rebuild to like-new condition and ASML standards. 1000W & 2500W TCU inventory for emergency shipments. Reliable source for purchasing and selling of used ASML equipment.

Register for an account on our website www.eotechnical.com where you will have access to all inventory and make an enquiry.

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES

Page 39: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

39

Contact Garry Myatt / Claire Mackay

Telephone 01249 814081 (Main Reception No)

Email [email protected] / [email protected]

Website www.exceptiongroup.com

eXception is a leading design and manufacturing service provider, offering an effective end-to-end capability for the design and manufacture of complex electronic systems.

Based in the UK, with strategic partners in Malaysia, China, Thailand and Czech Republic, eXception provides professional and accessible electronic design and manufacturing services to a wide range of clients from start-up through to global multi-site blue chip OEMs, and has particular in depth knowledge and broad experience on niche market sectors that require focus around ruggedisation and harsh environments.

From PCB’s to complete systems, eXception offers expertise in the specification, design, NPI, assembly and test of complex printed circuit boards. Our careful attention to design for manufacture and risk adverse supply chain solutions maximises the life cycle of your product and minimises early obsolescence.

eXception EMS provides Contract Electronic Manufacturing (CEM) to OEM’s across the globe. This is based around six core competencies;

Technical Reporting; providing pre and post design support to aid design, reduced costs and time to market.

Professional Services supporting key consultancy in PCB and mechanical design, supply chain, test development and project management.

Dedicated New Product Introduction (NPI) PCB Assembly in the UK (‘On-Demand’) Low-Medium Volume contract electronic manufacturing in the UK High Volume Offshore PCB Assembly, managed by our UK management team System Integration and full box build solutions eXception EMS’s 220 employees work across a single UK location supported by supply chain in Penang, Malaysia and a Sales Office in Houston, USA

eXception and its strategic supply chain and manufacturing partners are approved to BSEN 9100/AS9100 and or ISO9001:2000 and control all aspects of the manufacturing process including component supply chain, supplier audit, manufacturing and logistics.

eXception are proud to announce over a 30 year pedigree in supporting such prestigious names associated with high reliability electronics within the Industrial, Semiconductor, Oil & Gas, Networking and Broadcast and Aerospace and Defence sectors.

RELIABILITY TEST R&D SERVICES ELECTRONICS MANUFACTURING

Page 40: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

40

Contact Mike Devine

Telephone +44(0)1684 292448

Email [email protected]

Website www.exceptionpcbsolutions.com

A European leader in time critical and technology driven printed circuit board solutions. Specialists in quick-turn, high density interconnection (HDI) solutions for a wide range of industries including aerospace, medical, telecommunications, defence and automotive. A highly experienced team of design engineers work closely with OEM customers and designers to develop HDI circuit boards with micro-via technology that increasingly incorporate the latest micro BGA / packaging technologies

PACKAGING

Page 41: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

41

Contact Ed Clark

Telephone 07776 162108

Email [email protected]

Website www.fei.com

ANALYTICAL TOOLS AND SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES

Technology for Exploration, Discovery, and Invention

FEI is a leading diversified scientific instruments company. It is a premier provider of electron and ion-beam microscopes and tools for nanoscale applications across many industries: industrial and academic materials research, life sciences, semiconductors, data storage, natural resources and more.

With a 60-year history of technological innovation and leadership, FEI has set the performance standard in transmission electron microscopes (TEM), scanning electron microscopes (SEM) and DualBeams™, which combine a SEM with a focused ion beam (FIB). FEI's imaging systems provide 3D characterization, analysis and modification/prototyping with resolutions down to the sub-Angstrom (one-tenth of a nanometer) level.

FEI's NanoPorts in North America, Europe and Asia provide centers of technical excellence where its world-class community of customers and specialists collaborate. FEI has approximately 1800 employees and sales and service operations in more than 50 countries around the world.

Page 42: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

42

Contact David Cairney

Telephone 01698 327372

Email [email protected]

Website www.fluidic-ltd.co.uk

Fluidic are a process and HVAC instrumentation supplier. We are the authorised partner for

several blue chip manufacturers, including Dwyer, Honeywell, KSR Kuebler, Kurz, Micronics, VEGA

and Vaisala.

Main measurement parameters are: Level; Flow; Pressure; Temperature and Moisture (humidity)

Along with switches, gauges and SMART transmitters we provide data acquisition systems

(including wireless) and process controllers.

We have the skills to assist in product selection for the many and varied measurements required in

micro-electronic (semiconductor) environments - such as room differential pressures, humidity

levels, LEV monitoring and gas distribution using high purity pressure gauges and transmitters.

We have a fully equipped workshop which allows us to manufacture test instrument panels to

meet any bespoke demand. On-site commissioning and surveys for radio telemetry applications

are also part of our skill set.

Our client base spans many industries including semiconductor, hospitals, cleanrooms, life sciences

and pharmaceuticals. We are familiar with Safety Critical applications -we offer many ATEX and SIL

certified instruments - and we can also help with the associated documentation and calibration

demands (to EN17025 UKAS level where necessary).

CLEANROOM SERVICES & FACILITIES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES

Page 43: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

43

Contact John Dale

Telephone +44 (0) 7739 985345

Email [email protected]

Website www.fourteentechnology.com

At Fourteen Technology we work directly with factories, Universities and Research Institutions to help them save money on their spare parts spend.

We are the exclusive sales representative for Applied Ceramics, SPM AG, Applied Seals North America and Fabworx Solutions in the UK and Ireland.

Since starting out solely in the Semiconductor industry, we now support customers from the Photonics, Research and Development and Industrial coatings industries.

The following is an overview of the parts we offer:

Applied Ceramics - Ceramic, Quartz, Silicon and Sapphire parts for etch, cvd, pvd and lithography

SPM AG - Heaters for CVD applications, ESCs, Optical parts for steppers, Refurbishment services, Reticle cases, Wafer carriers, Metal parts, Lamps, Sputtering targets and IR substrates .

Applied Seals North America - Manufacturer of high quality o-rings for the semiconductor industry

Fabworx Solutions Inc - Manufacturer of robot arm and end effector upgrades for Endura® and Centura® platforms

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES

Page 44: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

44

GAN SYSTEMS

Contact Geoff Haynes

Telephone +44 118 324 0065

Email [email protected]

Website www.gansystems.com

GaN Systems is a fabless semiconductor manufacturer utilising patented "island" design

topologies to create cost effective, high speed, switches and diodes with exceptionally low on

resistance and operating voltage and current.

The company designs, sells and markets Gallium Nitride power semiconductors and sub-systems

for efficient power conversion and control applications including :

Renewable energy generation

Power storage and distribution

Electric vehicles,

Traction

Industrial motors and generators

Power supplies

Point of load devices in consumer, professional, military

and aerospace markets.

EQUIPMENT SALES & REFURBISHMENT R &D SERVICES

Page 45: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

45

Contact Keith Pow

Telephone 01506 794656 / 07900 260209

Email [email protected]

Website http://www.global-technologies.fr/

Global Technologies founded in 2005, is an independent Engineering and Services company operating in the Semiconductor Industry.

Main activities are sales of spares and maintenance services for equipment and systems used by research centers and semiconductors foundries.

HQ + Repair center are based in Aix en Provence ( FRANCE).

Spares & Repair Services Provider

Electronics :

· Analogical and digital PCB’s · DC , HV power supplies · RF Generators · Monitors · Controllers

Mechanical and Vacuum technology :

· Cryogenics pumping · Ferromagnetic fluid seals · Motor spindles · Disks and Wheels

Gas Control :

· Distribution panels · Gas box

CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES R&D SERVICES RF RELATED SERVICES SOFTWARE AND AUTOMATION TRAINING VACUUM RELATED EQUIPMENT & SERVICES

SEE OUR ADVERT ON PAGE 123

Page 46: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

46

HIGHLAND SCIENTIFIC

Contact John O'Driscoll

Telephone 01234 216636

Email [email protected]

Website www.highland-scientific.com

Highland Scientific stocks and supplies thousands of new and pre-owned

spares and parts sourced globally from leading companies such as:

Applied Materials (AMAT) Firfax ASM LAM Balzers Panasert Beta Squared Perkin Elmer Eaton SVG Edwards Swiss Step ESEC Tokyo Electron

Although our main business is components and spares for the Semiconductor, Optical and Ophthalmic Industries, we have also supplied customers in the following sectors:

Academic Aerospace Automotive Aviation Banking Broadcasting Electrical Maritime Oil & Gas, Paper Printing Power Generation Rail Shipping University Research & Development.

CLEANROOM SERVICES & FACILITIES EQUIPMENT SUPPORT SERVICES

Page 47: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

47

Contact Owen Tangney

Telephone +44 1355 222 166

Email [email protected]

Website www.ichorsystems.com/legacyservices.html

Refurbished equipment is providing Semiconductor Manufacturers with a cost-effective choice for their production needs in order to satisfy their performance goals and budgetary requirements.

With the exclusive Global License to sell Lam Research Single Chamber Plasma Etch Equipment (Rainbow® & TCP®) for Metal, Conductor and Dielectric applications, Ichor Systems Ltd is uniquely positioned to provide turnkey solutions that include equipment selection right through to installation and warranty. Offering a comprehensive package that allows customers to manage their resources while minimising the risk associated with purchasing refurbished equipment. Critically, all with OEM backing.

Ichor Systems Ltd has a staff of highly experienced, OEM trained and qualified engineers. The executive teams have extensive sales & service management experience with Lam Research and are well known for their expertise across the UK & European semiconductor industry. Located in East Kilbride, Scotland the Company has a dedicated system refurbishment cleanroom facility situated within a rapidly developing Technology Park with auxiliary locations in the US & Singapore.

Services

Ichor Systems Ltd has a proven track record in supporting Lam Research systems, offering extensive solutions for these products:

Drytek OnTrak Single-Wafer Clean (SP Series) Auto Etch (490, 590, 690) Rainbow® (44XX,45XX,46XX,47XX) TCP® (9400, 9600) Alliance (All) Recognised by Lam Research as their preferred outsource service provider throughout Europe as well as being the preferred supplier of refurbished equipment in the region. Also the official distributor of Lam legacy system spares & service for the European market. The wide range of services provided includes:

Fully Refurbished System Packages Field Service Support Field Process Support System Sales Legacy System Spare Parts System spare Parts & Sub-assembly Repairs Customer Technical Support ([email protected]) Process Development System Upgrades & Reconfiguration System Installation Demo Capability Training In addition Ichor Systems Ltd offers full support for: CMP, Clean, & Metrology tool services, plus development & foundry services.

ANALYTICAL TOOLS AND SERVICES CLEANROOM SERVICES & FACILITIES CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES MATERIALS/CHEMICALS OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES) RELIABILITY TEST TRAINING VACUUM RELATED EQUIPMENT & SERVICES

SEE OUR ADVERT INSIDE THE BACK COVER

Page 48: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

48

Contact Trevor Gainey

Telephone +44 (0) 1256 767897

Email [email protected]

Website www.ingenious-quality.com

We offer Quality & Reliability Management, Environmental Management and Health & Safety

Management Consultancy to High Technology and Engineering industries in the U.K. and Europe.

With over 30 years in the Semiconductor and Electronics industries we have helped a variety of

organisations improve their management systems and product quality at lower cost therefore

achieving higher customer satisfaction.

We offer services in the following areas :

General Quality Management System advice and implementation

Quality Management for Integrated Circuit, Electronics and other High Technology

companies

Environmental Management Systems advice and implementation

Engineering/Operations Management

Health & Safety Management

Business Continuity and Corporate Social Responsibility Management

Internal Audit

Product Quality Improvement

Please feel free to browse through our web site to see further details of services we can offer to improve your business performance.

http://www.ingenious-quality.com

We are at your service to discuss how we can add value to your operation

CONSULTANCY SERVICES

Page 49: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

49

INTELLECTUAL CAPITAL GROUP

Contact Neil Dickins

Telephone 0118 988 1150

Email [email protected]

Website www.ic-groupltd.com

The IC Group is a key recruitment partner to Europe's technology community.

The IC Group consists of 4 specialist technology divisions, each undertaking contract and permanent recruitment across technical, commercial and senior / executive disciplines: Semiconductor recruitment - throughout Europe, Asia and USA Software recruitment - DSP, embedded and high level software recruitment across Europe Electronics recruitment – Electronics. Optics and Mechanical recruitment across Europe UX / UI recruitment – throughout Europe. All divisions cover roles from individual contributor up to VP level. Many of our consultants hail from within industry; all specialise in specific sub-sectors and become the market expert in their chosen field. This expertise leads to efficient, insightful and cost effective recruitment solutions based on deep industry knowledge and extensive contact networks. The IC Group is the sole or preferred recruitment partner to myriad companies, from pure start ups to international blue chips. Many of our client and candidate relationships date back more than a decade.

The IC Group is committed to being an important member of the technology community. We sponsor a range of conferences and industry awards, and are active members of the NMI and vocal supporters of UKESF. Areas covered include engineering / technical recruitment, commercial (sales, marketing, applications) recruitment, and technical executive search. We also have a dedicated contract recruitment team.

Please contact us in confidence to discuss any aspect of your career or company growth.

CONSULTANCY SERVICES

Page 50: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

50

ION BEAM SERVICES

Contact Gordon Murray

Telephone 01506 633 537

Email [email protected]

Website www.ion-beam-services.com

The total ion implantation solution!

Ion Beam Services (IBS) is an independent company providing ion implantation products and services. When you contact IBS, you can:

Rely on our Technical Competence At IBS we master each step of ion implant activity. Our vertical competence ranges from the implantation of your substrate, to providing a customized ion implanter, to providing the state of the art Pulsion implant system. This means that for any required service, we master all the required steps. When we sell consumables or propose maintenance services, our expertise is proven as we are using those services internally for our implant services offering. When we sell upgrades and retrofits, we know the impact and benefits on the equipment. When we sell cleaning and refurbishment services, we first measure and prove the quality and value of the service on our own equipment. Trust our Experience IBS has been in business and profitable for more than 20 years. During these years, IBS became a reliable partner not only for the leading European companies of the semiconductor industry but also the European Research Labs. Our 20 years of experience contribute to establish the IBS reputation in ion implant to validate the position of IBS as an expert in ion implant. Count on our Expertise Our highly competent staff, composed of 70% university graduates, 10% of which are PhDs) is at your service to assist you in meeting your implant requirements. Our engineering staff continues to publish papers and articles with their latest progress and stay at the top of the latest scientific progress. This expertise is at your service: as you investigate the best technical solutions to chose, our engineers are available to consult with you at each stage of your process and help analyze and select the best solution. Our highly skilled staff enable IBS to create and develop innovative and cost effective upgrades for your implant system.

CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES R&D SERVICES

Page 51: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

51

Contact Shane Adler

Telephone 02920 837500

Email [email protected]

Website www.iqep.com

Cardiff headquartered IQE plc is the leading global supplier of advanced semiconductor wafers with products that cover a diverse range of applications, supported by an innovative outsourced foundry services portfolio that allows the Group to provide a 'one stop shop' for the wafer needs of the world's leading semiconductor manufacturers.

IQE uses advanced epitaxial growth technology to manufacture and supply bespoke semiconductor wafers to the world's major chip manufacturing companies. IQE is unique in being able to supply wafers using all of the leading crystal growth technology platforms; MBE, MOCVD and CVD. Recent additions to our portfolio include SOS, SOI, GeOI and BSOS substrates.

IQE's products are found in many leading-edge consumer, communication, computing and industrial applications, including a complete range of wafer products for the wireless industry, such as mobile handsets and wireless infrastructure, Wi-Fi, WiMAX, base stations, GPS, and satellite communications; optical communications, optical storage (CD, DVD), laser optical mouse, laser printers & photocopiers, thermal imagers, leading-edge medical products, barcode, ultra high brightness LEDs, a variety of advanced silicon based systems and high efficiency concentrator photovoltaic (CPV) solar cells.

IQE's customers are increasingly seeking to outsource their wafer production in order to reduce overall wafer costs and accelerate time to market.

IQE also provides bespoke R&D services to deliver customised materials for specific applications and offers specialist technical staff to manufacture to specification either at its own facilities or on the customer's own sites. The Group is also able to leverage its global purchasing volumes to reduce the cost of raw materials. In this way IQE's outsourced services, provide compelling benefits in terms of flexibility and predictability of cost, thereby significantly reducing operating risk.

IQE operates multiple manufacturing and R&D facilities worldwide. For further information visit www.iqep.com.

MATERIALS/CHEMICALS OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES) R&D SERVICES

Page 52: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

52

Contact Rob Doyle

Telephone 01509 881010

Email [email protected]

Website www.jgpl.com

JGPL specialises in the field of power electronics, promoting a wide range of power semiconductors and associated products from a handful of world-leading manufacturers including Mitsubishi Electric, Eldre and Powerex. With expertise gained over decades of working in the power electronics field, JGPL is uniquely placed to provide a key service to companies working in power electronic applications. Mitsubishi Electric (IGBTs, IPMs) is JGPL’s main business partner. Products offered by JGPL from other manufacturers include laminated busbars from Eldre, discrete thyristors, diodes and custom IGBT modules from Powerex, current and voltage sensors from ABB and electrolytic capacitors from Sic-Safco. Also within the portfolio are IGBT gate drivers, custom designed heatsinks and thermal interface materials

SEE WEBSITE FOR MORE INFORMATION

Page 53: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

53

Contact John Harries

Telephone 01773 844256

Email [email protected]

Website Www.kmgchemicals.com

High tech solutions for semiconductor and microelectronics industries The business of KMG Ultra Pure Chemicals (UPC) produces and supplies unique ultra pure chemical solutions to the semiconductor and microelectronics industries from our sites in Asia, Europe, and the United States. Alongside and in support of our excellent chemicals KMG UPC provides world class chemical management and Analytical services. Our chemicals are used by leading edge producers of Semiconductor Wafers, Semiconductor Devices, MEMS manufacturers, wafer reclaim companies, Solar Cells and Photomask companies. The chemicals are also used in the Plastic Electronics industry, the production of pharmaceuticals and other high tech industries, in which there is a requirement for high quality, security of supply and innovation. Customer focus and building strong long-term relationships has always been one of our strengths and the management team and operational personnel have a wealth of experience and skill sets to ensure that we have satisfied customers. We offer an open approach with excellent customer service, technical support and innovation. The acids, bases, solvents, developers and bespoke mixtures we supply meet all the cleaning, etching, stripping and photolithographic needs of the semiconductors industry. Our production sites utilize the industry quality standards including ISO9001, TS16949 & ISO17025. Another key focus area for our business is Health, Safety and the Environment with the manufacturing sites all accredited to ISO14001 and OHSAH 18001. KMG Ultra Pure Chemicals supply customers with consistent quality, cost effective processing solutions and world class service to meet the demands of tomorrow’s technology.

ANALYTICAL TOOLS AND SERVICES CONSULTANCY SERVICES EQUIPMENT SUPPORT SERVICES MATERIALS & CHEMICALS PACKAGING R&D SERVICES TRAINING

Page 54: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

54

Contact Jacqueline Reid

Telephone 01389 804414

Email [email protected]

Website www.logitech.uk.com

Materials Processing Specialists Since 1965.

Logitech Ltd is a world leader in materials processing, shaping and surface finishing technology. We

design and manufacture high precision cutting, lapping and polishing systems which enable high

specification surface finishes to be prepared with precise geometric accuracy.

Logitech systems provide exacting standards of material flatness and parallelism in applications

such as:

Semiconductor material processing

Opto-electronic surface processing, including LEDs

Optical material processing

Test and measurement of materials

We are unique in our approach with "system packages", enabling clients to get the best from their

application processes. We provide in-depth and on-going support to our clients, ensuring they

achieve optimum results from our systems and their processes for many years.

ANALYTICAL TOOLS AND SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES MATERIALS/CHEMICALS R&D SERVICES TRAINING VACUUM RELATED EQUIPMENT & SERVICES

Page 55: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 56: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

56

LOGOS LOGISTICS

Contact William Devine

Telephone +44(0)141 847 2118

Email [email protected]

Website www.logoslogistics.com

Logos Logistics continue to provide specialist moving, packing

and freight solutions for the removal and relocation of

sensitive machinery and equipment within the

semiconductor and electronics industry.

We are now in our 10th year in business and we have

strengthened our position through our recent partnership

with TPC Freight Management. This joint venture sees our

existing services expand to incorporate their expertise in the

movement of large, heavy and sensitive equipment by land,

sea and air. The result is a complete, bespoke machinery

movement solution for our customers that need to move

awkward or complex machinery from A to B.

This combination of Logos Logistics experience and the global

reach of the group will ensure that we can provide you with

our local service worldwide.

Whatever stage you are at in planning your move it is never

too early to contact us.

EQUIPMENT SUPPORT SERVICES PACKAGING

Page 57: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 58: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

58

Contact Mike Petty

Telephone 01509 260779

Email [email protected]

Website www.LSALtd.co.uk

History :LSA is well established and well known in the surface analysis

community. The company was founded in 1997 by Alison Chew, Mike Petty

and David Sykes with a vision of creating a contract analysis facility able to

apply surface chemical analysis techniques to the widest range of technological

problems.

Experience :The company's staff are experienced at working with a wide range

of industries and assisting with: failure analysis, quality control, materials

characterisation, reverse engineering, contamination identification, research

and development, process matching

Applications :The list is almost endless, typical projects

cover: semiconductors, electronics, metals, corrosion, glasses, adhesion,

polymers, coatings, concretes, staining

LSA provides a responsive, confidential, professional contract surface analysis

service to industry and universities

ANALYTICAL TOOLS AND SERVICES

Page 59: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

59

Contact Jan Peeters

Telephone Office +32 15 640582 Mobile +32 475 919034

Email [email protected]

Website www.ltxc.com

LTX-Credence is a global provider of semiconductor test solutions designed to deliver value through innovation enabling customers to implement best-in-class test strategies to maximize their profitability.

LTX-Credence addresses the broad, divergent test requirements of the wireless, computing, automotive and entertainment market segments, offering a comprehensive portfolio of technologies, the largest installed base in the Asia-Pacific region, and a global network of strategically deployed applications and support resources.

Additional information can be found at www.ltxc.com

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES RELIABILITY TEST RF RELATED SERVICES TESTING TRAINING

Page 60: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

60

Contact Frank Kuhl

Telephone 01707 259996

Email [email protected]

Website www.lynbond2000.com

Lynbond 2000 focuses on providing high quality and cost effective non-branded products. We offer a wide range of consumable items for use in controlled environments, such as hi-tech manufacturing operations and research laboratories. Examples of the cleanroom product range includes:

Non-woven wipers from class 1 (compatible) to class 1000

Facemasks

Tacky mats

Gloves from class 10 to class 1000

Conductive and anti-static assembly gloves,

Writing paper and notebooks

Cut resistant gloves,

Cleaning/mopping systems

Finger cots,

Coveralls and lab coats (polyolefin and polypropylene)

Launderable cleanroom garments (from class 1)

Mob caps,

Safety glasses

Over shoes and over-boots

Swabs

Clean room pens

Large stocks are held at Welwyn Garden City, which can be delivered in the UK within 24 hours.

Long term customers benefit from consignment stock agreements.

European Offices: Italy : 0039 03 21 45 46 38 - Hungary: 0036 30 66 32 960

CLEANROOM CONSUMABLES

Page 61: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 62: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

62

Contact John Watkins

Telephone +44 (0)136 725 2265

Email [email protected]

Website www.maser.nl

MASER Engineering, founded in 1993, is an independent engineering service company operating in the semiconductor and electronic systems industry.

Full Reliability Test including ESD testing and Diagnostic services are offered to a wide range of customers that are active as Fabless Semiconductor or Integrated Device Manufacturers, automotive electronics supplier, Telecom and ICT application specialists, Industrial and Medical electronic system manufacturers or in Aerospace and Space applications.

We offer services from one of the best state of the art equipped labs in Europe. The product range starts at single component level and expands to complete electronic systems.

We offer our Test and Diagnostic services from our main laboratory in Enschede, The Netherlands. Our knowledgeable staff of engineers and technicians is working in close cooperation with the engineers of our customers to enable an efficient work flow with optimal results.

ANALYTICAL TOOLS AND SERVICES CONSULTANCY SERVICES PACKAGING R&D SERVICES RELIABILITY TEST TESTING TRAINING

Page 63: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 64: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

64

Contact Andy Cringean

Telephone 0131 516 7800

Email [email protected]

Website www.maximintegrated.com

Maxim Integrated is a leader in analog innovation and integration, unique

among semiconductor companies in the range of disparate analog functions

that it can combine onto a single chip.

The company’s highly integrated solutions help customers create systems that

are smaller and more energy efficient.

SEE WEBSITE FOR MORE DETAILS

Page 65: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

65

Contact Stewart McCracken

Telephone +44 (0)131 440 9090

Email [email protected]

Website www.themcsgroup.co.uk

ANALYTICAL TOOLS AND SERVICES CONSULTANCY SERVICES R&D SERVICES RELIABILITY TEST TESTING

At MCS we help our customers find solutions to their product and manufacturing problems. Think

of us as ‘forensic scientists’ for industry. We build long-term relationships by consistently delivering

solutions on-time and on-budget. At MCS we have a strong scientific base coupled with a deep

understanding of manufacturing processes. Our overall goal is to find practical solutions to our

customers problems.

What do MCS do?

We combine our expert knowledge and state-of-the-art materials analysis tools to solve your

materials, manufacturing and reliability problems. Our services include reliability and failure

analysis, microscopy and imaging, compositional analysis, mechanical testing and technical

representation. MCS will provide clear results, firm conclusions and recommendations offering

practical solutions to your material and engineering problems.

How does the service work?

Tell us what you know about the problem, we’ll discuss the issue with you, identify an appropriate

investigation, and give you a solution to your problem. We will respond quickly and define a plan in

the same day. Initial consultation is free, turnaround times and level of investigation are flexible to

suit your needs.

What are the benefits?

Rapid access to fully resourced materials lab with experienced staff to support you and your

customers to quickly identify the root-cause of issues. Increase yield and minimise incurred costs.

Identify supplier issues and define liability (materials, manufacturing, service conditions). Protect

your customer by maintaining product quality.

Page 66: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

66

Contact Quentin Jones

Telephone 01543 500066

Email [email protected]

Website www.megatechlimited.co.uk

Megatech Limited is the largest independent supplier of equipment and services to the semiconductor and thin film industries in the UK. Established in 1973, the company has built a well-founded reputation for the supply of quality products, in-depth technical expertise, and excellent customer service.

As an exclusive UK outlet for a number of US and European companies, Megatech sells to end users, researchers, and OEMs as well as supporting all products with both field and in-house service.

Megatech have a modern headquarters in the Midlands with engineers located strategically around the country.

CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES VACUUM RELATED EQUIPMENT & SERVICES

Page 67: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

67

Contact Bruce Dickson

Telephone +44 (0) 7879 424214

Email [email protected]

Website www.memsstar.com

Semiconductor and MEMS equipment supplier for Etch and

Deposition processes

Founded in 2003, memsstar Limited is Europe's premier supplier of Wafer Processing Technology based upon fully guaranteed and proven industry standard tools. memsstar delivers high quality equipment and process solutions to customers who develop and manufacture microsystems including semiconductors and MEMS.

memsstar has a strong reputation as a partner and supplier, and delivers the highest quality and capability possible. Customers get a total equipment and process solution including performance guarantees and excellent service. Our core disciplines are on Novellus, Applied Materials and Lam Research toolsets for etch and deposition processes in addition to our own memsstar product range of wafer processing equipment. Our strategy is to innovate and reliably deliver technology and systems projects to our customers, backed up by the best customer support. memsstar is an accredited ISO9000 company.

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES) R&D SERVICES RF RELATED SERVICES VACUUM RELATED EQUIPMENT & SERVICES

Page 68: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

68

Contact Mark Berry

Telephone +44 1454 456370

Email [email protected]

Website www.metryx.net

Metryx develops and manufactures advanced metrology systems based on mass metrology. Mass change provides a direct, passive and rapid measurement of process change in a semiconductor process, regardless of whether it is an additive or subtractive process. The process change will manifest as either a single excursion, or a drift and will allow process engineering and excursion management decisions to be made and rapidly implemented. Because mass measurement takes place on product wafers it enables the reduction of non productive test wafers, and provides information quickly on process excursions reducing risk.

Metryx has a worldwide customer base including 300mm, 200mm and 150mm fabs in Europe, US and Asia.

Metryx tools are in use on high volume applications in high volume productions fabs as well as in multi application use in smaller fabs. Metryx has achieved recognition in this highly competitive industry with awards including Semiconductor International Best Product Award, Eurasia Semiconductor Yield Enhancement Award, and two Queens Awards for International Trade and for Innovation.

Metryx is staffed by semiconductor industry professionals from the fields of equipment engineering, process and applications development, and equipment sales and service. While many of our customers are high volume 300mm fabs, our metrology technique can offer some real cost savings to the mature 200mm fabs that are operated by many of NMI members. These fabs are often running at close to capacity and cost savings represent direct improvements to profitability.

Metryx is working with a number of similar companies on activities to reduce test wafer consumption, as well as increased process monitoring to help reduce reliance on slower, more expensive analytical metrology.

ANALYTICAL TOOLS AND SERVICES EQUIPMENT SALES & REFURBISHMENT

Page 69: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

69

Contact John O'Donnell

Telephone 00353 61309745

Email [email protected]

Website www.mfgvision.com

MFG Vision Limited, founded in 2005, helps companies improve the yield, quality and reliability of their products through specialised private cloud information technology which we develop, license and customise.

We are a trusted 'big data' analytics supplier to an increasing number of multinational semiconductor companies and foundries who manufacture chips in the range of "just" 100K to an amazing 60 billion chips a year.

Engineers use our web-based tools to monitor, report and comprehensively analyse the performance of chips over the entire life-cycle, from characterisation through to volume production.

The end result is reduced cost of manufacturing for our customers and increased quality and reliability of their chips.

We have customers in the UK, USA, Ireland, mainland Europe, Israel and Taiwan.

ANALYTICAL TOOLS AND SERVICES

Page 70: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

70

Contact George Acris

Telephone +44 (0) 20 84 200 200

Email [email protected]

Website www.microlease.com

Microlease – The experts in Test Equipment management

Over 30 years of experience offering the best equipment with a range of financing options has enabled Microlease to become the experts in Test Equipment management.

Whether you need equipment for short or long periods, we can help you easily acquire what you need, when you need it, with options that are ideal for your situation. With options like Rental, Leasing, Financial Solutions, Buying New or Used, Microlease should be your first call for Test Equipment.

Microlease is Agilent’s Authorized Technology Partner in the UK, Ireland and Italy and Agilent’s premier Rental Partner in Europe. Our strong partnership with Agilent gives you access to the best Agilent equipment supported by an unbeatable range of Microlease financial solutions.

Microlease also offers complete Asset Management with our LEO Asset Management software. LEO is the easy and comprehensive way to manage projects and assets – no matter how big or how many sites. It ensures that everything in your inventory is visible and can be used to its full potential at all times.

Visit us at www.microlease.com for more information

Call us on +44 (0) 20 84 200 200.

ANALYTICAL TOOLS & SERVICES CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES R&D SERVICES RELIABILITY TEST RF RELATED SERVICES TESTING

Page 71: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 72: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

72

Contact Andy Langford

Telephone +44 (0)7967 079248

Email [email protected]

Website www.micross.com

Micross Components Ltd are one of the UK's leading suppliers of semiconductor components to Hi-Rel industries, having serviced the avionics, military and space markets wordwide for more than 40-years.

We are expanding into Harsh Environment Assembly Techniques, including High Temperature assembly and other Harsh / Rugged environments.

Our expertise spans tailor-made design solutions for the assembly of micro-electronic devices, ASIC design and build, comprehensive electrical and environmental test and screening, counterfeit detection and long-term storage.

We have extensive capabilities in value added services including BGA re-balling, lead-attach, solder exchange, automated tin dipping and in PCB re-work.

CLEANROOM SERVICES AND FACILITIES CONSULTANCY SERVICES PACKAGING R&D SERVICES RELIABILITY TESTING TESTING

Page 73: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

73

Contact Gordon Whyte

Telephone 01355 244456

Email [email protected]

Website www.multi-lab.co.uk

Multi-lab QuartzTec Ltd is a supplier of fabricated quartz glass, thermocouples and advanced ceramics.

We are the largest quartz fabricator in the UK employing around 70 staff. Our flagship fabrication facility in East Kilbride, Scotland was bought from Heraeus quartz. This facility is one of the most advanced quartz fabrication factories in Europe. Our head office is in Newcastle, England. This also has a sizable manufacturing infrastructure for quartz and ceramic component manufacturing. Our quartz raw material comes from either Heraeus or Momentive.

We fabricate quartz ware for most OEMs including, Aviza, ASM, Kokusai and Tel, and build for furnaces using from 3” to 12” wafers. We can also supply quartz tanks for wet etch applications and parts used in dry etch / sputter etc.

Our product offering includes quartz repairs, technical support, cost of ownership support programmes and quartz parts cleaning. Our thermocouple product line allows us to provide new, new for old and full calibration services. All our T/Cs are provided with UKAS certification.

One of the Multi-lab group strengths is holding extensive raw material stocks so we are able to meet the most challenging delivery schedules.

We currently give Technical support and welcome R & D involvement in connection with any of our product range. Our products are supplied into markets including Microelectronics, Photovoltaic and life Sciences.

EQUIPMENT SALES AND REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES MATERIALS / CHEMICALS R & D SERVICES

SEE OUR ADVERT INSDE THE FRONT COVER

Page 74: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

74

MVTS TECHNOLOGIES

Contact Duncan Self

Telephone 01256 383450

Email [email protected]

Website www.mvts.com

MVTS Technologies provides premier equipment, service and support solutions to manufacturers worldwide. The company delivers and supports refurbished systems, upgrades, enhancement products, manufacturing, engineering services and supply chain solutions in more than 15 countries through its global organisation and infrastructure. The company employs an unprecedented business model of developing flexible partnerships with OEMs to provide customers with seamless support across multiple platforms.

MVTS’ European operation provides :

Turnkey engineering services

Applications development

Field service and spare part services (including consumables) The European Test Application Centre (TAC) features a highly trained and experienced engineering team, and availability of test systems to deliver development services to the European semiconductor market.

Founded in 1994, MVTS is privately held and headquartered in Carlsbad, California, USA

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES TESTING

Page 75: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

75

Contact Alan Miller

Telephone +44 (0) 117 9576225

Email [email protected]

Website www.nanoscopeservices.com

NanoScope are a European FIB (Focussed Ion Beam) Technology and TEM Microscopy services company. Our engineers are the most knowledgeable and experienced FIB technologists available, and since 1993 support many of the Fabs and Fabless design companies in the EU. Our success rate is >95% for 1st silicon interventions. We specialise in fast turnaround circuit edit (FIB), same day FAB Quals, and high end process/failure analysis. ‘1st Silicon Emergency Service’ – after 1st silicon test has shown a mask change is required before volume production. We can check the package (Xray, CSAM) decapsulate the device, perform your FIB Edits to cut and connect metal nodes, re-passivate the fix and even reseal the device for testing. Have fully working devices in a few hours or days.

‘QwikQual’ – Urgent, precisely placed measurements of process steps to qualify your manufacturing equipment. Same day, exactly placed micro cross-sections with referenced metrology and imaging, with results emailed directly to you or available via live web-video (LiveFIBTM)

‘Right on Target’ – High end, position exact TEM investigations of failures and processes. Bright/Dark field imaging, referenced metrology and elemental analysis techniques are used to answer the most challenging questions.

ANALYTICAL TOOLS AND SERVICES CONSULTANCY SERVICES EQUIPMENT SPARES, INSTRUMENTATION AND CONSUMABLES EQUIPMENT SUPPORT SERVICES R & D SERVICES RELIABILITY TEST TRAINING VACUUM RELATED EQUIPMENT AND SERVICES

Page 76: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

76

NOR-CAL UK

Contact John Judson

Telephone 01666 861221

Email [email protected]

Website www.norcaluk.com

Nor-Cal manufacture a wide range of high and ultra high vacuum components including fittings,

isolation and throttling valves, foeline traps, heaters and custom parts. Nor-Cal UK is in its 15th

year in the UK having previously worked via distributors for some 10 years.

Although 'Nor-Cal' is almost a household name in the

semiconductor sector, we work hard to maintain our

reputation for quality, response and

competitiveness. We hold substantial stocks to offer

a fast response service where required and can

deliver overnight anywhere in the UK. We offer

technical support and a custom part service for any

special, non-catalogue requirements.

Our sales team has more than 30 years experience in

the vacuum industry and can recommend solutions

to improve throughput and reduce downtime.

EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES

Page 77: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

77

Contact Gordon MacIntyre

Telephone +44- (0)141 778 3619

Email [email protected]

Website www.nteklitho.com

NTEK Litho was started in January 2003 by former Nikon Tech Support and Senior Engineers to decom, install, train, refurbish and service Nikon steppers in Europe. Due to the demand from customers and brokers a like NTEK has expanded its staff and services world wide and have done extensive amounts of Nikon Stepper and Scanner work in the USA, Korea, Japan, China, Taiwan and Malaysia on top of covering their existing customers in Europe.

NTEK Litho over Nikon tools from body G6 - S620 immersion scanners including all the SF series up to SF140.

Outside of the OEM we are the leading Nikon Scanner/Stepper and SF100 - SF140 company world wide and have decommissioned and installed multiple tools all over the world in the last 4-5 years at many of the major companies in the industry. NTEK has now built a state of the art 3,500 sq/ft clean room in Nijmegen, Netherlands where it refurbishes Nikon Steppers and Scanners .

With our partner company we also support all 5000 and 6000 Cymer lasers 100% for parts, decommissionings, installations, refurbishments, service, training and can support all Cymer lasers for service up to the XLA.We can also swap Gigaphoton lasers for Cymer lasers as have done this already in some top end facilities We support all the Gigaphoton range of lasers on decommissionings, Installations, refurbishments, service,training but cannot supply the parts yet.

We can also supply the Nikon g-line,i-line and DUV optics from body G6 - S204 scanners and also have developed our own RPF filters for the i-line uniformity problems. We will shortly do the same for the DUV tools up to S204. We will shortly be able to run all the Nikon i-line training classes from Nikon body i8 - i14 in our state of the art clean room in Nijmegen as we are installing all the i-line tools there at present to allow us to do this. We are also starting up EX14 steppers and will be able to give EX14 training and Cymer 5400/5410 training there also shortly.

We have an extensive amount of Nikon parts in stock from Body 7 to S203 scanner and are looking to expand that over the next year.These will shortly go onto our new website under construction at present www.nteklitho.com.

EQUIPMENT SALES AND REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION AND CONSUMABLES EQUIPMENT SUPPORT SERVICES

Page 78: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

78

Contact Enquiries

Telephone +44 (0)1803 698600

Email [email protected]

Website www.oclaro.com

Oclaro, Inc. is a leading provider and innovator of optical communications solutions.

The company is dedicated to photonics innovation, with cutting-edge research and development (R&D) and chip fabrication facilities in the U.K., Italy, Japan and Korea.

It has in-house and contract manufacturing sites in the U.S., China, Malaysia and Thailand, with design, sales and service organizations in most of the major regions around the world.

For more information, visit http://www.oclaro.com.

R&D SERVICES

Page 79: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

79

Contact Stephen Duffy

Telephone 01506 403566

Email [email protected]

Website www.optocap.co.uk

Optocap Ltd is an Assembly and Packaging Subcontractor for the Microelectronics and Optoelectronics industry. Optocap provides advanced packaging solutions to a world-wide client base of blue chip multinationals and leading edge start-ups. Optocap's Value Proposition offers our clients a number of advantages including;

Reduced Time to market - Reduced Technical risk - Reduced Product Development and Manufacturing costs - Pure-Play Supplier :Optocap does not compete with its customers

Optocap's services include:

Package design, modelling and verification—Prototype and Pilot assembly—New Product Introduction—Automated volume manufacturing process development - Process transfer - Volume sub-contract manufacturing - Supply chain management - Environmental testing to Telcordia, JEDEC, IPC standards - Device testing and characterisation—Failure Analysis and Corrective action support Optocap utilizes its capabilities and know-how in a wide variety of opto and microelectronic assembly platforms including; Opto: High powered laser diodes / Fiber coupled modules / Sensors/Displays / TOSA/ROSA's / LED modules Micro: Fast-Turn IC assembly / Flip chip assembly / System-in-Package (SiP) assembly / CMOS sensor assembly / RF/MMIC module assembly / MEMs Optocap also offer a range of custom packaging and assembly options.

PACKAGING

Page 80: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

80

Contact Information

Telephone +353 (0)1 2958890

Email [email protected]

Website www.patinalighting.ie

The Patina® trademark is synonymous with forward-thinking innovation in industrial and commercial lighting design and application since the 1980s, when they first began providing energy-efficient lighting solutions for major corporations and government bodies.

Over the years the company has committed significant resources to the development of high efficiency luminaires and lighting systems which are fully programmable and are capable of generating savings that are up to 90% higher than those achieved by conventional luminaires. These luminaires are particularly effective when combined with the Dali PS and CS Sensors.

Patina® Lighting has received several international awards for innovative design and energy efficiency properties.

SEE WEBSITE FOR MORE INFORMATION

Page 81: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

81

Contact Carl Simon

Telephone 01420 565634

Email [email protected]

Website www.phasix.co.uk

Driven to serve our customers’ need for rapid, accurate and comprehensive test results Phasix is a growing semiconductor test and analysis outsource partner. Located in Hampshire, Southern England, our experienced team is waiting to provide you with a wide range of ESD testing services. Phasix ESD focuses on Semiconductor Quality and Reliability, helping our customers ensure their products are sufficiently robust to guarantee reliability in their intended end-use and offers….

A full suite of ISO accredited testing services which includes HBM, MM, CDM and Latch Up with optional I-V Curve Tracing .

A highly responsive and flexible service with 5 days-or-less guaranteed turn time, from receipt of samples to delivery of results.

The maximum useable information about the ESD sensitivity of your devices.

On site service, repair and calibration of your in-house ESD test equipment.

Sound advice on the selection of appropriate testing standards and methodologies when you need it .

CONSULTANCY SERVICES EQUIPMENT SUPPORT SERVICES RELIABILITY TEST TESTING TRAINING

Page 82: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

82

Contact Rob Lloyd

Telephone +44 (01656) 662171

Email [email protected]

Website www.photronics.com/

Photronics is the industry leader in the design, development and production of reticles and photomasks for semiconductor and microelectronic applications.

Established in Connecticut in 1969, the Company became a publicly-held corporation in 1987 and today operates nine manufacturing facilities around the globe.

PHOTO MASKS

Page 83: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

83

Contact Dennis Nye

Telephone 07799 587 913

Email [email protected]

Website www.presto-eng.com/

Presto Engineering was created in 2006 (San Jose, CA) and extended to Europe with 2 hubs (Caen

and Grenoble, France) in 2009. A fourth hub was created in 2012 in Israel (Migdal Ha'Emek).

Presto Engineering provides services and global industrialization/production solutions for the

microelectronics industry. We rely on three major strengths to successfully carry out these projects: 1 - Test engineering and Production Testing (RF, RFmm, Mixed-Signal)

2 - Package Engineering and Production Assembly. Partnerships with major assembly OSATs allows

us to offer a variety of packaging solutions at competitive pricing.

3 - Reliability qualification (HTOL, TMCL, HAST, ESD, Latch- Up, ...)

4 - Failure analysis (SEM, X -ray, PEM, LVx .... ) including FIB Edit

Also, since Oct.2013, Caen’s hub has become the only authorized Test Center (ATC) in Europe

accredited to deliver HDMI

and HDCP certifications.

Presto Engineering is an

ISO9001:2008 certified

company. Caen hub is also

accredited COFRAC

ISO17025:2005. We are

actively extending those

certifications towards ISO-

TS16949 in Q2-2014.

Presto Engineering

Europe—2, rue de la Girafe

- 14000 Caen - France—Tél. : +33 2 31-062-727 Mail : [email protected]

ANALYTICAL TOOLS AND SERVICES CONSULTANCY SERVICES EQUIPMENT SUPPORT SERVICES RELIABILITY TEST RF RELATED SERVICES TESTING

Page 84: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

84

Contact Phil Surman

Telephone 01793 784 389

Email [email protected]

Website www.ppm.co.uk

PPM Design and manufacture Fibre Optic Links for fast pulse and mid band measuring as well as RF over fibre applications.

We are also a specialist representing company providing products for :

Power Electronics

Pulsed Power

High Voltage

Test and Measurement Applications.

We particularly specialise in power components which include :

Power Semiconductors,

Power Resistors

Power Capacitors for Power Electronics applications.

Power Electronics Software and Real Time Power Electronics digital emulations

equipment used in power electronics development is a key part of our product offering.

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES RF RELATED SERVICES SOFTWARE AND AUTOMATION TESTING

Page 85: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

85

Contact Keith Baker

Telephone +44 (0) 1792 311 200

Email [email protected]

Website www.purewafer.com/

Pure Wafer is a worldwide leader in the provision of wafer reclaim services. These services enable semiconductor manufacturers to gain further efficiencies through the increased re-use of silicon test wafers within their production processes.

The Company has an established track record, one of the world's most advanced wafer reclaim facilities, and has a "blue chip" customer base that includes most of the world's largest semiconductor manufacturers and independent foundries. As a business partner, Pure Wafer works with its customers to design and develop a service that delivers reclaimed wafers to the exact specifications and high standards required by today's leading semiconductor manufacturers.

In 2009, Pure Wafer , Swansea began manufacturing Monocrystalline Photovolatic Cells based on recycled Silicon. Industry- leading efficiencies have been achieved using advanced production methods that dramatically reduce the volume of carbon-based energy required to generate each Watt. The process, developed in conjunction with Swansea University has opened unique channels for local sourcing of carbon-reduced Photovoltaic Roof Modules and Photovoltaic Cells.

Pure Wafer services its customers from its state of the art, purpose built facilities in Swansea, South Wales, UK and Prescott, Arizona, USA.

ANALYTICAL TOOLS AND SERVICES MATERIALS/CHEMICALS

Page 86: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

86

Contact Stephen Meats

Telephone +44 (0) 1794 321105

Email [email protected]

Website www.rcl-reliability.com

RCL is a consultancy and training company for reliability. We work with electronics companies to help achieve world class reliability for a wide range of applications and operating environments.

We provide troubleshooting with design issues at chip level and system level, in areas ranging from ESD to harsh environmental conditions. Our approach to reliability assurance takes account of the “real world” operating conditions of the end product. We are actively involved in bridging the gap between design and manufacture, providing support throughout the product development cycle from the study and design phase through to customer issues with the final product. A number of factors, including the use of electronics in an increasingly wide range of conditions and the additional risks introduced by smaller process geometries, have introduced new challenges for manufacturers who must reduce levels of field returns in order to maintain customer satisfaction.

We provide programmes of work to improve the quality and reliability of electronics systems where there is multi-site manufacturing and complex supply chains. This may involve refining existing quality systems, or addressing specific manufacturing issues. Our methodology is underpinned by an awareness that every stage in the design and manufacturing process impacts on product quality and reliability .

CONSULTANCY SERVICES TRAINING

Page 87: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

87

Contact Martin Shaw

Telephone 07967 144 097

Email [email protected]

Website www.reliabilitysolutions.co.uk/

Reliability Improvement Consultancy and Training in the Electronics Industry.

Focuses on Component and Product Reliability Improvement for a wide range of major companies across Europe and Asia.

Reliability prediction and Modelling Real Life Failure rates from Accelerated testing

Reliability Improvement Training via 2 day intensive training seminar programme

GAP analysis and Reliability Improvement Project definition

Design Quality Improvement

Component Reliability Improvement and Qualification

Supplier Quality Improvement and effective management

ANALYTICAL TOOLS AND SERVICES CONSULTANCY SERVICES RELIABILITY TEST TRAINING

Page 88: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

88

Contact Mark Ashley

Telephone +44 (01453) 541211

Email [email protected]

Website www.reltech.co.uk

The Reltech Independent Test Laboratory (ITL) provides global Semiconductor Companies with Full Turn-Key solutions, performing reliability, qualification, and environmental testing on semiconductor devices of the very latest technologies. Reltech prides itself on its 35 years existence within the semiconductor reliability market and applies its wealth of experience in life test system manufacturing and semiconductor device testing, to support its customers through the critical qualification stages prior to the launch of a new product. Reltech works closely with its customers all the way through the qualification process. This includes the development of a Qualification Plan, specifying the most effective and practical test strategy, designing and manufacturing bespoke test hardware, developing test software and performing the qualification tests within its spacious laboratories using advanced test equipment that is maintained, calibrated and operated by a highly trained team of test professionals working to established test standards and proven procedures accredited by UKAS to ISO17025:2005 Reltech provides the following Semiconductor Reliability and Qualification tests services: Planning/Development Qualification Planning, Biased Life Test strategy development, Life Test Hardware design & manufacture, Life Test Software development Qualification Testing: High Temperature Operating Life (HTOL), High Power HTOL >30W/DUT, Early Life Failure Rate (ELFR), Burn In,Preconditioning (PC), Temperature Humidity Bias (THB), Highly Accelerated Life Test (Biased HAST), UHAST (unbiased HAST), Low Temperature Operating Life (LTOL), Temperature Cycling (TC), High Temperature Storage (HTS), Test Programme Development, ATE Functional Test (Read Point Test) Design, Manufacture Advanced HTOL Test Systems, HTOL Boards, Burn-In Boards and Supply HTOL Driver Cards, HAST Boards, HTOL Driver Cards, Burn-In Test Sockets – for all package outlines >0.35mm pitch

RELIABILITY TEST

Page 89: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

89

Contact Mark Diamond

Telephone 01236 433345

Email [email protected]

Website www.retronixsemiconductor.com

Retronix has extensive experience in providing internal and external "Engineering Solutions" to Semiconductor customers worldwide. Retronix provides low-cost, high value added operations and engineering services and products to the Semiconductor industry.

Retronix Semiconductor is headquartered in California with an operations facility in Mesa, Arizona, Coatbridge Scotland, Maynooth Ireland and Shanghai in China.

Retronix Group globally employs 650+ world-wide Client list includes major semiconductor device manufacturers, Equipment Manufacturers, Used equipment brokers, and research and development companies. Retronix has global service agreements with major tier-1 IDM's and OEM’s.

Retronix Semiconductor is one of the most innovative and effective suppliers to the Semiconductor industry. We offer a unique set of services aimed at reducing costs and improving efficiencies. Services vary from complete wafer fab relocations to flexible labour solutions and we specialize in applying technical solutions to keep our customers competitive.

Retronix offers innovative and unique cost reducing services to OEM and IDM companies worldwide and we fully engage in our customers' Continuous Improvement Programs (CIP) and break complex maintenance activities down into manageable modules. To compliment Retronix Semiconductor contract services and refurbishment operations, we have a state of the art PCB repair lab.

Retronix is a pioneer in safe recovery of electronic components. Retronix offers a unique set of services aimed at reducing manufacturing costs and improving production efficiency in the electronics PCBA industry and the fact that Retronix are a preferred supplier to many of the market leaders is testimony to their track record and the quality of their services.

CLEANROOM SERVICES & FACILITIES CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SUPPORT SERVICES OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES) RF RELATED SERVICES TRAINING VACUUM RELATED EQUIPMENT & SERVICES

SEE OUR ADVERT ON PAGE 137

Page 90: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

90

Contact Ian Stuart

Telephone 01355 236392

Email [email protected]

Website www.rfglobalsolutions.co.uk

RF Global Solutions provides low cost RF repairs and refurbished RF equipment into the Semiconductor and Medical industry, now an internationally recognisable brand with customers utilising our services from all across the globe. We are constantly assessing the latest RF technology on offer supported by our representatives all over the world. We then design, repair, install, service and train to all the quality standards you'd expect, ensuring our customers get the local service they are familiar with, backed by the reassurance of an international RF leader.

Repairs : We repair most RF power supplies and matching units, even if your equipment is not commonly used or industry standard. All RF repairs and refurbishments are backed by our second to none warranty which can also be tailored/ extended to meet your particular requirements. Sales : RF Global Solutions carries a vast array of refurbished equipment available to purchase when required ensuring you continue to maximise production output at all times. All equipment is supplied in a fully refurbished condition complete with a warranty and service documentation. Upgrade : From time to time we notice that some OEM standard units develop common faults which can result in the equipment failing, where relevant we will offer to upgrade the original components with more reliable/ longer lasting alternatives at no extra cost! This improvement work will always be documented on the relevant paperwork. Our service engineers are skilled and proud of the work that they do. Some joined us through the RF Global Solutions apprenticeship scheme and have been with us for many years. They share a commitment to keeping their skills up to date with regular in house training at our East Kilbride Head Quarters.

Some of the units we provide a repair service for : Adtech – Advanced Energy – Applied Materials – Colpit – Comdel – Daihen – Henry – LAM – Mattson – MKS -Novellus – RFPP – RF Services – Sebra – Seren – SET – Tegal – Trazar

RF Global Solutions Ltd, 21 Langlands Place, Kelvin South Business Park, EastKilbride, Lanarkshire, G75 0YF.

CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES OUTSOURCED CLEANROOM SERVICES (STAFF,PROCESSES) R&D SERVICES RF RELATED SERVICES VACUUM RELATED EQUIPMENT AND SERVICES

Page 91: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 92: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

92

Contact John Frew

Telephone 01355 227 228

Email [email protected]

Website www.rfsupport.com

Established for over 10 years RF Support has enjoyed being the leading high quality repair centre for semiconductor plants worldwide. · Where customers always return for industry leading reliability and quality. Offering a full repair, refurbishment and calibration service on the complete range of RF equipment found in today’s fab. High power DC sputtering supplies from AE and ENI/MKS, selected Microwave power supplies and some of the smaller housekeeping supplies have also been added to the list. Our wide engineering background means we are also able to support some of your other equipment. ·

If you have a problem give us a call we are always willing to help. With an extensive parts store and support from a worldwide network of suppliers, you can be sure parts are available to ensure your repairs are completed quickly. · 24hr turnaround service is available in critical situations. All units are rigorously tested to meet or exceed the original OEM specification. Internal processes have been designed to ISO standards, so our customers are assured of complete confidence in every repair. Our excellent reliability record is a source of pride, and as such is constantly being monitored to maintain standards. Repairs are all fully backed with an RTB warranty, which is one of the best in the industry and can be configured to suit individual requirements. · Our prime focus is to improve the reliability of your existing equipment. Currently supporting major fabs in the UK, USA, Europe, South Asia and the Far East. Our customer base is growing due to our reputation for Quality and Reliable repairs, with customers who may have tried others, returning for units they can trust. · Growth based on customer recommendation, which is the simplest and best form of advertising. Each repair has a full package of test results available including final written report fully detailing all problems encountered and parts fitted, Final Test Record, Linearity and Burn Charts. Customers can also gain access to our 24hr web based service Customer Zone, which enables full tracking of your unit, access to previous repairs and invoicing details, ensuring you can trace a units repair cycle throughout its lifetime. For UNBEATABLE worldwide SERVICE & RELIABILITY Call us NOW +44 1355 227 228 RF Support - Reliability Assured

CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES) RF RELATED SERVICES VACUUM RELATED EQUIPMENT & SERVICES

Page 93: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

93

Contact Kathryn Scott

Telephone 01252 818888

Email [email protected]

Website www.rohde-schwarz.co.uk

Rohde & Schwarz UK Ltd is an independent sales, service and technical support provider to customers in the mobile radio and radiocommunication, test and measurement, TV broadcasting and IT security space.

Part of leading electronics specialist Rohde & Schwarz Group, we offer customers the best of both worlds – access to extensive local capabilities and expertise, plus the ability to tap into the knowledge and resources of a global organisation.

With service and support teams located in the United Kingdom and Ireland, as well as sales teams in London and Dublin, Rohde & Schwarz UK continues to invest in staff and service equipment so that wherever customers are in the UK, we can support their needs.

Technically competent and with high level applications engineering expertise, our local design and sales staff specialise in solving customers’ problems, large and small.

For many of our existing customers, we have become a long-term partner who understands their business and helps them take advantage of new business opportunities. We take pride in our ability to forge long lasting relationships that achieve customers’ short and long-term goals.

Rohde & Schwarz UK Ltd has built a solid reputation as a trusted partner and has a proven track record of delivering successful results.

SEE WEBSITE FOR MORE INFORMATION

Page 94: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

94

Contact Reinhard Pusch

Telephone 0049 711 867090

Email [email protected]

Website www.roodmicrotec.com

With over forty years of experience as a value-added service provider, RoodMicrotec has established a

very strong position in the microelectronics and optoelectronics industries in Europe.

RoodMicrotec’s one-stop services include failure & technology analysis, qualification and burn-in, test

& product engineering, production test, device programming, end-of-line service, reliability

engineering – ESD/ESDFOS evaluation & training as well as extended supply chain management from

ASIC design to production shipments. The services fulfill the quality requirements of the automotive,

aerospace, telecommunication, medical, automation and industrial electronic industries.

Services – Test/Product Engineering, Production test, programming, End-of-line Service, Supply Chain

Management, Qualification and Burn-In, Failure & Technology Analysis, FIB Services, Reliability

Engineering, ESD/ESDFOS Evaluation & Training for active, passive and optoelectronic devices, printed

circuit boards (PCB’s) and printed board assembles (PBA’s)

All our products and services underline the highest quality and environmental standards.

ISO 9001 ISO/IEC17025

RoodMicrotec N.V. RoodMicrotec GmbH RoodMicrotec International B.V. Oettinger Strasse 6, 86720 Noerdlingen, Germany Dokter van Deenweg 58 Phone: +49 (0) 9081 804-0 8025 BC Zwolle, The Netherlands Phone: +31 (0) 384215216

RoodMicrotec GmbH RoodMicrotec GmbH Maria-Reiche-Strasse 7, 01109 Dresden Motorstr. 49, 70499 Stuttgart, Germany Germany Phone: +49 (0) 711 86 70 90 Phone: +49 (0) 351 205 6660 RoodMicrotec GmbH Carpenter House, Broad Quay, Bath, Somerset BA1 1UD, Great Britain Phone: +44(0) 796 894 8683 (Mr Malkit Jhitta)

ANALYTICAL TOOLS AND SERVICES TESTING

Page 95: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

95

Contact Colin Spence

Telephone 02871 357760

Email [email protected]

Website www.s3-alliance.com

S3 Alliance provides the network, and product portfolio that brings leading edge solutions to our customers.

Our supply and service solutions are tailored to meet our customers ever changing requirements, enabling them to be competitive in what is now a truly global marketplace. For the semiconductor/MEMS market we source and offer quality products at the right price.

Within this market we offer solutions in the following areas:

Equipment

Parts & Consumables

Service

Cleaning solutions

ANALYTICAL TOOLS AND SERVICES CLEANROOM CONSUMABLES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES MATERIALS/CHEMICALS

Page 96: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

96

CHANGED

Contact Richard McKay

Telephone 01475 746800

Email [email protected]

Website www.sanmina.com

Sanmina Cable Division develops and manufactures cable assembly & interconnect solutions for virtually all market sectors.

We provide customers with a wide range of cost-effective, high-performance interconnect solutions, cables assemblies, wire harnesses, backplanes and electro-mechanical assemblies.

Sanmina makes some of the most complex and valuable electronic/mechanical products in the world.

Sanmina not only focuses on engineering and fabricating complex components, but also on providing complete end-to-end supply chain solutions to Original Equipment Manufacturers (OEMs).

With a global footprint to efficiently compete in today’s marketplace, Sanmina-SCI is a premier technology and most advanced global supply chain solution partner to accomplish your vision.

ANALYTICAL TOOLS AND SERVICES CONSULTANCY SERVICES R&D SERVICES RELIABILITY TEST RF RELATED SERVICES TESTING

Page 97: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

97

Contact Gordon Woodhead

Telephone 01506 417030

Email [email protected]

Website www.savantech.co.uk

Innovative equipment integration and asset-tracking software, solutions & services for the Semiconductor Manufacturing Industry. Equipment Integration is one of the key tools that chip manufacturers can utilise in order to maximise the productivity and flexibility of their facility. Implementing EI has a proven track record of enhancing tool utilization, reducing scrap and increasing overall productivity. By linking the equipment to your shop-floor control system, your process can be secured, and the data directly collected can be leveraged to both improve the process and to minimise idle time. Savantech Limited has an expert team of semiconductor professionals with many years of experience encompassing process control and optimisation, data collection, efficiency enhancement and asset tracking. Savantech offers a complete range of services, from initial needs assessment through system design, development, and implementation. We also provide comprehensive support and maintenance packages. Savantech's premier automation product is CoreTegral, the most technologically advanced automation development platform in the market today, taking advantage of the finest industry standard tools to enable rapid deployment and real-time remote management capabilities. Core to the Savantech approach is our extensive industry-specific knowledge, ensuring that the solutions support the business 24x7. Our focus is on providing targeted automation solutions that deliver the best cost/performance package for each customer. Excellent ROI can be achieved by automating a select set of key equipment in the first instance, to address pressing problems of scrap, poor throughput or poor process yield. As the cost benefit of automating those tools is proven, further functionality can be introduced and/or further tools automated. Savantech has extensive expertise in identifying where automation will return the most value, and in providing the solution to do it. Choose Savantech to make the most out of your fab's potential. Services: Increase equipment throughput - Decrease unplanned down-time - Eliminate scrap caused by mis-process - Improve process through data analysis - Facilitate Advanced Process Control - Real-time factory floor visibility.

CONSULTANCY SERVICES SOFTWARE & AUTOMATION

Page 98: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

98

Contact Steven Fraser

Telephone 01475 540689

Email [email protected]

Website www.scotech.ltd.uk

Scotech – the UK's premier distributor of thin film coating equipment and consumables. Scotech

supply Capital Equipment and Consumables from market leading companies in the Vacuum

Deposition and Etch field.

Our product range covers diverse markets ranging from blue chip Silicon, MEMS & III-V

Semiconductor manufacturers through leading edge research companies and universities. Through

our experienced team of engineers we aim to supply high quality service with efficient technical back

up. All our technical staff have over 30 years industry experience in thin film processing.

Products and Services include :

Ion Beam Sources

E Beam Evaporation Systems

E Beam Evaporation Components

Magnetic Rotary Feedthroughs

Vacuum Gauging

Sputtering Sources

Substrate Heaters

Throttle Valves

Plasma Generators

Sputtering Targets & Coating Materials

Quartz Monitor Crystals

Vacuum Fluids & Greases

Plasma Etch Electrodes

Stepper Lamps

Intelligent Micro Patterning

Molecular Vapour Deposition

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES MATERIALS/CHEMICALS VACUUM RELATED EQUIPMENT & SERVICES

Page 99: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

99

Contact Douglas Graham

Telephone 0131 6513508

Email [email protected]

Website www.scotmicrocentre.co.uk

The Scottish Microelectronics Centre is Scotland's World class centre in the Semi-conductor and MEMS Industry. From it's modern facilities within the Campus, of Edinburgh University at the King's Buildings. The SMC provides Research & Development, Analytical, Processing and Assembly activities for both Internal and external customers relating to the development of MEMS and Microelectronics. The SMC delivers specialist support to companies ranging from Start-ups through to Multi National corporations. From its State of the Art facility, which includes both office and clean room space, an extensive tool set, first class support programmes, and networking opportunities and access to academic support from the 5 star rated Institute of Micro and Nano Technology of Edinburgh University. Through our support programmes we are able to smooth the progress of ideas and bring them to Market. By using our service's and facilities on a “Pay as You Go” basis, companies can remove the barriers, of both high costs and long lead times that bar entry to Industry. SMC thrives on Partnership and collaboration. Talk to us today and see how your business can benefit from SMC's services. Services Analytical – In House Semi-conductor Analysis and Circuit Edit facilities provide a rapid

turnaround service for Failure Analysis, Process Monitoring and IC repair. The workhorse of the department is the Focussed Ion Beam Milling Machine, FEI 200, which has a copper etch compatibility and CAD overlay system enabling repair, cross-sectioning and circuit edit of Silicon semiconductor and MEMS devices. This is supported by SEM and AFM facilities.

Processing Development – SMC provides a process development service for MEMS fabrication Semi-conductor integration. Processes can be flexibly developed and characterised on Wafer sizes from 75 to 200mm. Facilities include I and G Line Stepper and contact Photolithography, Layer Deposition (LPCVD, PECVD), Metallization, and Dry Etch 9 including DRIE, CMP Wafer to Wafer bonding, MEMS Dry Etch Release and Surface treatment.

Assembly – Customers are offered, low volume and rapid turnaround In-house packaging solutions. Capabilities include Wire bonding, Wafer dicing. We enable our customers to move quickly from design concept to functional prototype device.

Incubation – The SMC provides specialist Business Incubation, which removes the barriers to Companies entering the Semi- conductor and MEMS Industry. SMC has an enviable track record in supporting successful start ups in the Industry.

ANALYTICAL TOOLS AND SERVICES OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES) R&D SERVICES

Page 100: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

100

Contact Bobby Gray

Telephone 01475 635100

Email [email protected]

Website www.sel-tek.com

Sel-Tek Limited are a highly respected and diligent supplier to the European semiconductor industry. Our purpose is to supply, install and support, specialist equipment to entire sectors of the Semiconductor, RF/Microwave, Signal Integrity, PCB, MEMS, BGA, solar panel, opto-electronics and other related Industry's within the European community.

For over 15 years, we have offered two distinct product lines, electrical test characterisation together with a comprehensive wet chemistry range. Our affiliation with the OEM's (Original Equipment Manufacturers), ensures the highest possible level of product expertise and service to our customers.

Our company offers every possible solution to customer applications relating to analytical probing requirements, Signal Integrity testing, Probe card applications, Resistivity measurement systems, Chamber assurance tools, Hall Effect measurement systems, high purity chemical pumps, D.I water heaters, pump rebuild/warranty service and wet processing equipment, inclusive of wetbenches (manual and automatic), IPA vapour dryers and Megasonic and chemical process baths.

CLEANROOM SERVICES & FACILITIES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES) RF RELATED SERVICES

Page 101: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

101

Contact Information

Telephone +44 1592 630630

Email [email protected]

Website www.semefab.com

Semefab is a Silicon wafer foundry operation manufacturing microelectronics and MicroElectro-Mechanical Systems (MEMS) in Glenrothes, Scotland.

Semefab operates a volume foundry business model and technology commercialisation business model and can therefore support its customers throughout the full technology cycle and their volume needs. Semefab operates 3 wafer fabs on its Glenrothes sites supporting6" and 4" wafer flows.

Our operation supports a very diverse process portfolio, having a broad technology base.

In addition to its fabrication capability, Semefab operates its own test floor supporting wafer test and package test.

Supporting an extensive process portfolio of MEMS; MOS;Bipolar; Opto-CMOS; ASIC and Discrete technologies, Semefab supplies Silicon wafers, die and packaged devices to the market.

As a global foundry, Semefab exports >74% of its fabricated product and ships more than 200 million die per year. Our teams areexperts at inducting existing process flows and the subsequent optimisation within the Semefab equipment set. We are equally capable of full process development. Semefab is a very capable specialist volume foundry, and in addition to our volume business model, we support both a development model and a technology commercialisation model. Semefab supports development across the full technology cycle and collaborates with its customers to deliver the optimum outcomes.

Semefab supports a broad sensor portfolio and has further strategic interests in energy harvesting and medical MEMS technologies.

SEE WEBSITE FOR MORE INFORMATION

Page 102: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

102

Contact Richard Lansdowne

Telephone 01794 527600

Email [email protected]

Website www.semtech.com

Semtech Corporation is a leading supplier of high-quality analog and mixed-signal semiconductor products. The company is dedicated to providing customers with :

Proprietary solutions and breakthrough technology in power management protection

Advanced communications

Human interface

Test & measurement

Wireless and sensing products.

The Company's integrated circuits (ICs) are employed in communications, computer and computer-peripheral, automated test equipment, industrial and other commercial applications.

SEE WEBSITE FOR MORE INFORMATION

Page 103: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

103

Contact Benjamin Crouillere

Telephone 0033 613 391 883

Email [email protected]

Website www.serma-technologies.com/en

SERMA TECHNOLOGIES is a Failure Analysis Laboratory and Test House company specialised in analysis, control, expertise and consulting service of electronic components, boards and systems. We assist our customers at each level of the industrial cycle by providing support on various issues such as development, reliability, security of their products.

SERMA TECHNOLOGIES performs more than 6000 physical and electrical evaluations per year on electronic components, boards and systems in a wide range of sectors (aeronautics, space, automotive, transport, railway, telecoms, military, assembly, contract manufacturers…) at all levels of the industrial cycle: technology analyses and qualifications, failure analyses, smart cards tests, electrical tests & characterisations, reliability & characterisation test on semiconductor processes, qualification programs, IC modification with FIB.

SERMA TECHNOLOGIES provides training sessions (standard programs or customised sessions upon request) on the following topics: active and passive component technologies, assembly, audit, failure analysis, smart cards, reliability…

Some figures:

Creation: 1991 3 locations: Bordeaux, Paris, Grenoble (Minatec)

Turnover: 23 M€ Number of employees : 170 (including 90% of engineers and technicians) Laboratory: 5000 m² 6000 analyses/year Equipment valuation: 25 M€

ANALYTICAL TOOLS AND SERVICES CONSULTANCY SERVICES MATERIALS/CHEMICALS PACKAGING R&D SERVICES RELIABILITY TEST TESTING TRAINING

Page 104: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

104

Contact Eric Gunn

Telephone 0783 4490803

Email [email protected]

Website www.silera.co.uk

Commercial and management services for the optimization of business flows and relationships within the semiconductor foundry and fabless market segment. Wafer Foundry Relationships ASIC Design Services.

Third Party IP. Supply Chain Management Specialized Recruitment

ANALYTICAL TOOLS AND SERVICES CONSULTANCY SERVICES PACKAGING PHOTO MASKS R&D SERVICES RELIABILITY TEST TESTING TRAINING

Page 105: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

105

Contact Gordon Riddell

Telephone 01592 593300

Email [email protected]

Website www.sts.gb.net

STS Ltd. was formed in 2002 to address a specific need in the marketplace for high quality cost effective PVD tools and support.

Since incorporating the business it has grown to become the market leader in Europe and was selected by Novellus to provide official support for the Legacy PVD products supported by Novellus after the 1997 acquisition of Varian's Thin Film Product division.

In 2007 STS expanded this operation with official Novellus support into North America with the incorporation of STS Inc in Texas.

In 2006 STS acquired the precision parts cleaning business from Saint Gobain Semicon. This acquisition expanded the scope of supply of STS to include process production support services for the European Semiconductor market. This business unit is known as STS Precision Cleaning Services.

STS continue to develop using the business model that has served us well since the company began.

CLEANROOM SERVICES & FACILITIES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES

Page 106: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

106

Contact Chris Ridge

Telephone 01327 317621

Email [email protected]

Website www.sistemtechnology.com

Sistem Technology is a full service provider of Semiconductor and Advanced Technology process tools and sub-systems for R & D, Production and OEM applications.

Wet Process: Manual and Automated Wet Benches. Batch and Single Substrate acid and solvent processing. Chemical distribution systems, Megasonics, DI water/chemical in-line and immersion heaters, Gas and solvent heaters. Wet bench upgrades.

Thermal Processing: Horizontal furnaces. Advanced controllers, replacement elements and vestibule blocks for most furnace types. High performance convection and vacuum ovens, 200-450mm high temperature vacuum cure ovens.

Photolithography: Spin coating, develop, bake, lift-off, plating, etch and clean. Reticle storage systems. Chuck Cleaning Wafers.

Films: Atomic Layer Deposition (ALD) tools for research and volume production. R & D and production Sputter Systems. MRC and Eclipse refurbished Sputtering Systems

Test: Epoxy/Blade probe cards including ultra low leakage. Probe cleaning materials. Test Cell conditioning films.

Vacuum: High capacity vacuum traps, mist eliminators and oil filtration systems.

Automation: Assembly, integration, retrofit and servicing of E.F.E.Ms, A.M.H.S and related S.M.I.F modules. Automated wafer/cassette transfer systems. RFID and IR read/write systems. BCR/OCR readers. 200/300mm Sorters, Quartz boat cell transfer systems, Boat loader/unloader systems.EUV Reticle Sorter and POD opener, 450mm FOUP opener, 450mm Vacuum Cure Ovens

CLEANROOM CONSUMABLES CLEANROOM SERVICES & FACILITIES CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES MATERIALS/CHEMICALS R&D SERVICES RF RELATED SERVICES SOFTWARE AND AUTOMATION TRAINING VACUUM RELATED EQUIPMENT & SERVICES

Page 107: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

107

Contact Simon Milford

Telephone 01628 651366

Email [email protected]

Website www.siventure.com

SiVenture offers a range of security related services to assist you to achieve your business objectives, whilst minimising the risk from security weaknesses.

SiVenture is a fully accredited Common Criteria Test laboratory (CCTL), also known as an IT Security Evaluation Facility (ITSEF) or Commercial Evaluation Facility (CLEF), as well as being a fully accredited CESG Commercial Product Assurance laboratory.

SiVenture has experience in all areas of security evaluation, including software, hardware, cryptography and silicon chips, and offers services relating to Common Criteria (ISO 15408), CESG Commercial Product Assurance and FIPS 140, as well as services tailored to specific customer requirements.

Located in Maidenhead, UK, SiVenture is easily accessible to development centres in Europe, UK, Asia Pacific and the US.

Our history: The SiVenture team offers extensive security experience across a range of market sectors, including finance, defence, transportation, mobile wallets, product and system evaluations, and also provide security training, both as standard courses covering, for example, the physical security concerns faced by smartcard manufacturers, to bespoke courses tailored specifically to your needs. We offer expertise in all of the threat areas that your products or services may face. Our multi disciplined team of experienced evaluators, software engineers, mathematicians, physicists, cryptographers and smart card professionals can tackle the most challenging projects. We are a UKAS accredited testing laboratory No. 2722 for evaluating the security of IT products and systems to Common Criteria standards.

SiVenture is part of Cisco Systems Incorporated - the world leading IT company.

Our mission: SiVenture puts your products, systems and services through their paces before they are released. We can spot problems before they lead to major losses or litigation. In order to do this, we offer three types of services: Evaluation - Consulting - Lab Services

Services Access to Focused Ion Beam, Scanning Electron Microscopy, Atomic Force Microscopy, Reactive Ion Etching, Optical Microscopy, Power and Fault Analysis. Markets Any market requiring circuit edit and fault analysis work., any market where security is of interest.

ANALYTICAL TOOLS AND SERVICES

Page 108: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

108

Contact Helmut Tietzen

Telephone +49 (89) 45150515

Email [email protected]

Website www.smartest.de

Today's semiconductor industry has a rapidly growing demand for faster turn around times in product

development and cost- effective manufacturing. This includes faster development of IC test solutions

and parallel efforts to cover the large variety of products.

In many cases existing test solutions must also be transferred to new high speed test equipment to

reduce the cost of test. There are also upcoming demands from "fabless” ASIC design houses to help

them transfer their ASIC designs to production.

These requirements often lead to resource shortages and requirements for additional expertise and

therefore contracting out test development work has more and more become an established route.

Smartest GmbH, a privately owned, Munich based company, was founded to address these needs in a

very flexible and efficient way.

Smartest offers a complete application package which is focused on short development times while

minimizing the involvement of the customers resources in the out-sourced project. This is achieved by

offering a complete package of services for IC test applications, including: : test concept engineering -

test program generation and debug - the design and manufacturing of interface boards - production

integration - product analysis documentation and training

The development process is monitored by computerized project planning and review tools. They are

transparent to the customer and also open to the customers requirements in TQM. Finally the

product support is guaranteed by a unique software warranty procedure.

This concept has proven to be very successful and today, Smartest supports a large number of tester

platforms for customers all over the world.

CONSULTANCY SERVICES EQUIPMENT SUPPORT SERVICES R&D SERVICES RF RELATED SERVICES SOFTWARE AND AUTOMATION TESTING TRAINING

Page 109: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

109

Contact Jim Bishop

Telephone +44 141 773 2030

Email [email protected]

Website www.solutions-driven.com

Hiring Great People for Great Companies

Working for our clients in the UK & Internationally we deliver technical, engineering, management

& executive level hiring, securing great people that make a measurable difference to organisations.

With teams of specialist recruiters working in the Manufacturing, Technology, Medical Devices,

Automotive, Oil & Gas & Power sectors, our clients work with us exclusively on assignments to gain

access to a wider 'passive' candidate pool & to ensure their brand is properly represented at all

times.

Proactively working to an agreed project plan, seeking out great people that truly fit our clients’

needs, we provide quality shortlists in 3 weeks - shortlists that our clients can 'see' on our leading-

edge digital interviewing platform hence reducing their decision making timetable and contributing

to 85% of vacancies being filled from 1st shortlist.

*over 13 years’ experience in international candidate markets

*wholeheartedly embrace a positive approach to diversity

*accountable for results and rewarded for delivery

*proactive approach to customer service for both client and candidates

*able to source niche candidates in the UK & Internationally

*apply rigorous selection tools, key criteria scorecards & psychometric profiling

*provide comprehensive & accurate candidate information

*conduct post assignment reviews & candidate feedback questionnaires

*demonstrable track record of successfully supporting executive, key skills & volume hiring.

Contact us to find out more & to discuss how we can help you to hire great people.

CONSULTANCY SERVICES

Page 110: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 111: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

111

Contact Stephen Sale

Telephone 024 7641 6234 / 07870 566987

Email [email protected]

Website www.spectron-gcs.com

Spectron Gas Control Systems is a supplier of "front end" gas control equipment for safe delivery of gases to production tools. Equipment ranges from simple pressure regulators to fully automatic Gas Cabinets and semi-automatic Valve Manifold Boxes. With area representatives, Spectron covers the whole of the UK and Ireland as well as exports to other parts of the world. Our Emphasis is on safety, with reliability and cost coming close behind.

CLEANROOM SERVICES & FACILITIES

Page 112: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 113: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

113

Contact Peter Summers

Telephone 01750 725712

Email [email protected]

Website www.sps-europe.com

SPS is a full-service distributor of small equipment, tools and consumables used in semiconductor fabrication, MEMS, & Biotechnology, including wafer handling, wet processing, photolithography, CMP and Etch / PVD / diffusion areas. We supply a range of Equipment and Consumables including: WAFER HANDLING: Manual wafer handling Vacuum Wands, manual Aligners and Transfer systems, wafer Escalators, and a range of LED Inspection Lamps. Automated wafer handling tools including Aligners, Transfer systems, ID readers, Sorters, and custom engineering. Robotic wafer automation – including specialist Thin-Wafer handling capabilities.. Wafer Cassettes & Storage Boxes in a full range of SEMI designs and materials. Wafer Shipping containers (Jars, Canisters, Foams Tyvek® etc), and single wafer shipping and storage containers. WAFERS: We supply a wide range of II-VI, III-V and specialist substrate materials for Universities and R&D or low volume specialist manufacturing. WET PROCESSING: DI Water & Chemical Heating systems, Flow Control & Measurement for all chemicals including CMP slurry. An extensive range of Process Tanks (PTFE, PP, PVDF, Quartz), chemical Pumps, Valves and Fittings. Spin Processing systems from small tabletop Spin Coaters to fully integrated Spin-Processing Stations with automated tracking dispense, and custom systems for large format FPD and specialist applications. We also supply "In-Deck" versions for OEM's and for integration into existing wet benches. PHOTOLITHOGRAPHY: Mask Aligners & UV Light Sources, bench-top small R&D systems, cassette-to-cassette Automated systems, and large format FPD aligners. We also supply Nano-Imprint modules, as well as a range of UV Meters & Analyzers including meter Calibration services. We also have a range of high quality Stepper compatible Reticle Cases. ETCH, CVD & DIFFUSION: For Diffusion Furnaces we supply Heating elements, Vestibule Blocks, Soft Collar insulation materials, and a full range of Gas Filters. For Etch, PVD/CVD, & RTP robots, we supply End-Effector wrist upgrades – eliminating end-effector "droop", and long-lifetime Ceramic Bearing Upgrades for reducing downtime and improving cleanliness. CMP: CMP Retaining Rings and Conditioning Disks, Head Motor Refurbishment, as well as OEM-approved upgrades for slurry Flow Control, and other quality upgrades including VME & Pneumatics boards. BACK-END: Dicing Film Applicators, UV Curing Systems and Die-Matrix Expanders, as well as consumable Dicing/Grinding Films (standard/UV), Grip Rings, Film-Frames, and their respective Shipping Containers. Wafer Probe Cleaning Sheets. Tyvek® is a registered trade mark of E. I. du Pont de Nemours and Company.

CLEANROOM SERVICES & FACILITIES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES MATERIALS/CHEMICALS SOFTWARE & AUTOMATION

Page 114: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

114

Contact Franck Torres

Telephone 01633 414000

Email [email protected]

Website www.spts.com

SPTS Technologies designs, manufactures, sells, and supports etch, PVD, CVD and

thermal capital equipment and process technologies for the global semiconductor and

micro-device industries, with focus on the MEMS, advanced packaging, LED, high speed

RF device and power management markets.

The solutions offered by SPTS include market-leading silicon etch, dielectric etch, dry-

release etch, PVD, PECVD, APCVD and large batch vertical furnaces, available with a range

of wafer-handling options applicable to R&D, pilot production, or volume production

environments.

Major Products

Silicon DRIE systems

Compound Semiconductor and Oxide etching

Systems

HF vapour etching systems

XeF2 vapour etching systems

Plasma Enhanced CVD systems

Physical Vapour Deposition systems

Thermal batch processing systems (LPCVD/APCVD)

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES

Page 115: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 116: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

116

Contact Thomas Smith

Telephone 01475 635410

Email [email protected]

Website www.steppertech.com

Stepper Technology Ltd is a supplier of refurbished Photolithography Steppers. Providing sales,

service, parts and training on the following make/models:

ULTRATECH - 1500, 1500MVS, 1600DSA, Prisma, Titan and Saturn.

NIKON - i7 to i14

ASML - 5000 to 5500

Our machines are refurbished to OEM spec in our cleanroom, where we have full process coat and

develop capability. Machines are then installed with full warranty and process acceptance at

customers factory.

NEW TO THE MARKET - UNIVERSAL WAFER LOADER - RUNS 3" TO 8" WITH NO HARDWARE

CHANGE!

We can also refurbish and upgrade your equipment in the field or in our cleanroom.

Below are a few features which our scheduled service consists of:

Monthly, Quarterly and Yearly Preventive Maintenance Extensive testing of system

electronics

Technical assistance on reliability of systems

Written recommendations for further system improvements

Training of your maintenance engineers on repair and maintenance of their systems

Our highly skilled and experienced team of engineers can provide Support and Training on all aspects of machine processing and applications.

On-site training is available for customers and we also run training courses at our facility here in Greenock.

Stepper Technology Ltd also has a wafer test division which provides equipment sales, servicing,

modification and commissioning of equipment.

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES SOFTWARE AND AUTOMATION

Page 117: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

STEPPER

ADVERT

Page 118: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

118

Contact Dr. John McLean

Telephone 01235 445 276

Email [email protected]

Website www.stfc.ac.uk

STFC (Science and Technology Facilities Council) is one of the seven UK Research Councils reporting to the Department of Business Innovation and Skills. STFC funds research, operates several world-class establishments, manages the UK’s subscription to many international scientific collaborations, and aids advances in science and technology by providing the research community with access to advanced facilities and the expertise of its staff. STFC employs approximately 1900 staff across its UK establishments, most of whom are professionally qualified scientists and engineers. Rutherford Appleton Laboratory (RAL) is one of the STFC establishments within the UK and is also one of Europe’s largest multi- disciplinary research organisations. The Laboratory is home to a number of the UK’s major scientific research facilities and has a global reputation for excellence in many areas of science and advanced engineering technology. The Microelectronics Support Centre (MSC) located at STFC Rutherford Appleton Laboratory is an internationally recognised centre of excellence in design methodologies and flows for microelectronic, microsystem and electronic system design. The MSC specialises in providing academic institutions from the UK and Europe with the design tools, design flows, training and support that they require to enhance their microelectronic, microsystem and electronic system design research and teaching. This essential role enables the universities to conduct industry relevant research, and to train the highly capable engineers which form the lifeblood of the UK electronic system design industry.

ANALYTICAL TOOLS AND SERVICES

Page 119: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

119

Contact Marc Brand

Telephone 01383 842477

Email [email protected]

Website www.supplydesign.com

Supply Design are high performance power supply and battery charger specialists; with R&D, test, prototyping and manufacturing services focused on accelerating your requirements, through the development stages, to an end product.

Our team is experienced in design for manufacture (DFM), reliability (DFR) and test (DFT) and covers SMPS, analogue and digital electronics, and PCB layout; Embedded software and firmware development; and product, mechanical and thermal design.

Established in 2001, Supply Design has delivered a wide range of high reliability designs and consultancy services for companies such as Raytheon, Alcatel, Nujira, and Scottish and Southern Energy (SSE).

Our in-house test facilities cover power levels up to 25kW with our automated test kit and also include EMI and environmental, pre-qualification equipment; to reduce certification time and costs.

Contact us to discuss your R&D and product requirements.

ANALYTICAL TOOLS & SERVICES CONSULTANCY SERVICES R & D SERVICES TESTING

Page 120: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

120

Contact Roger Cooke

Telephone 01522 520 222

Email [email protected]

Website www.synergie-cad.co.uk

TESTING

Description

Semiconductor Test.

Global Market.

All hardware associated to Semiconductor Test:

Probe Cards

Burn In Boards

Final Test Boards

Performance Sockets

Engineering Boards

Characterization Boards

Emulation Boards

Demo Boards

Drivers

Burn In Systems

Semiconductor Test in house

All under one management, all in house supply.

Services

Tester Platform Experience

Verigy - Strategic Vendor Europe

LTX - Development Partner

Keithley - Preferred Supplier in Korea

Credence - Global Preferred Supplier

Advantest - Strategic Vendor Europe /Development Partner in Germany

Nextest - Development Partner

Teradyne - Supplier in Europe

AEHR MAX - Preferred Supplier

ANDO BIB - more than 5000 BIBs manufactured

Existing Members and Synergie-CAD customers : Nvidia Inc, Infineon Technologies, U4Global

Solutions, Mindspeed, Delta, Dialog Semiconductor, Intel, NXP Semiconductor, Freescale

Semiconductor, Nanotech Semiconductor, CSR

Markets : Specific Interests—Analogue/Mixed Signal & RF (AMS), System Level Design &

Modelling (SLDM), Design Verification, Design for Manufacturing (DFM)

Page 121: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

121

Contact David Thompson

Telephone +44 (0) 1763 261690

Email [email protected]

Website www.teknis.co.uk

Teknis have over 30 years of expertise in the supply of electrostatic discharge (ESD) protection products to the electronics manufacturing and associated industries.

Our design and manufacturing capabilities enable us to offer bespoke ESD packaging, storage systems, consumables, and/or complete turnkey solutions – all to BS EN 61340-5-1 and ANSI/ESD S20.20 ESD Standards.

ANALYTICAL TOOLS AND SERVICES CLEANROOM CONSUMABLES CONSULTANCY SERVICES MATERIALS/CHEMICALS PACKAGING R&D SERVICES SOFTWARE AND AUTOMATION TRAINING TESTING

As an ESD supplier to the Aerospace, Defence and OEM industries we are well versed in resolving static control issues, providing technical expertise and in-house training. Alongside our own manufacturing capabilities Teknis have long standing supply partnerships with major ESD product manufacturers, notably Wez (Switzerland), Europe's premier producer of Blackline conductive containers, and associated products.

Page 122: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

122

Contact Chris Brown

Telephone 01252 844561

Email [email protected]

Website www.teradyne.com

Teradyne is the world's largest supplier of semiconductor test equipment for logic, RF, analog, power, mixed-signal, and memory technologies.

We deliver test solutions to developers and manufacturers of a broad range of integrated circuits, packaged separately or integrated as cells in system-on-a-chip (SOC) devices. ICs tested by Teradyne are used in computing, communications, consumer, automotive, identification, and internet applications.

Services : Complete Turnkey Test Solutions - New device - Platform Conversion - Throughput Improvement - Utility SW tools Development - EDA/STIL/Vector Conversions - Device interface board (PIB, HIB, Probe Card) design & manufacturing - DUT-to-tester signal delivery hardware (Pogo Tower) - Mechanical infrastructure (stiffeners, pogo tower loader, cables)

Markets - Test equipment and test Program Development Services to the semiconductor industry.

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SUPPORT SERVICES TESTING

Page 123: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 124: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

124

Contact Gerry Thurgood

Telephone +44(0)1903 891700

Email [email protected]

Website www.thermcosystems.com

Tetreon Technologies was established in November 2003 and acquired Thermco Systems in 2004. Thermco specialises in diffusion furnaces for Semiconductor, MEM's, LED, Nano materials, Photovoltaic and research applications.

Thermco has probably the largest installed base of diffusion and LPCVD furnaces in the world and we continue to manufacture and support high quality systems that provide high uptime, high throughput and excellent cost of ownership.

In 2013 Tetreon added the T-Clean business unit to the company and now manufactures wet etch and cleaning systems for semiconductor and medical applications.

CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES VACUUM RELATED EQUIPMENT & SERVICES

Services

Manufacturing facility for horizontal

furnace technology

Upgrades and repairs including vertical furnace retrofits

Engineering design and solutions

Training - on site or in our factory

Spare parts

Installation and de-commissioning

MTBF and CoO analysis and audits

Process support and lab services

Products

Full range of systems for the

following technologies: -LED -MEM’s -Nano applications -Photovolatics -Semiconductor

Horizontal furnaces and wet etch/cleaning

Mini and R&D systems

PC-MUX computer control

Page 125: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 126: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

126

Contact Yuko Casey

Telephone 01293 655800

Email [email protected]

Website www.tel.com/eng/about/europe/tee.htm

As a leading global manufacturer of semiconductor production equipment, Tokyo Electron (TEL) engages in development, manufacturing and sales in a wide range of product fields.

TEL leverages specialized technologies developed for the semiconductor production equipment market to engage in the areas of flat panel display (FPD) and PV production equipment. All of TEL’s semiconductor and FPD production equipment product lines maintain high market shares in their respective global segments.

TEL also maintains a strong commitment to preserving the global environment through high efficiency standards for tools, factories and offices, in addition to providing the TEL Certified Used Equipment program. This program allows customers to sell products back to TEL at industry-competitive pricing, in addition to providing customers the opportunity to purchase superior tools with maximum cost savings. TEL’s Total Support Package is included with every certified used tool, as well as a comprehensive warranty.

To support this diverse product base, TEL is strategically located in 15 countries around the world with over 100 offices worldwide.

For more information, please visit www.tel.com and www.telcertifiedused.com.

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES

Page 127: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð
Page 128: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

128

Contact Paul Simpson

Telephone 01506 857566 / 07725 786529

Email [email protected]

Website www.trymax-semiconductor.com

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES TRAINING VACUUM RELATED EQUIPMENT & SERVICES

TRYMAX SEMICONDUCTOR focuses exclusively on plasma based photo resist removal (ashing and

descum), surface cleaning and isotropic etch equipment.

We have two main areas of activity :

New Trymax ashing and isotropic etching equipment : Our own NEO platform is an advanced design

manufacturing platform for ashing and etching applications .

We offer three different process modules :

Downstream microwave RF Etch Dual Source (Microwave plus RF) We can tackle a wide range of resist removal, ashing ,

descum and etching applications

Refurbished ashing and isotropic etching equipment

Including :

Matrix System 1, System 10 and Bobcat tools Axcelis RadiantStrip, RapidStrip and Gemini tools Fusion MCU tools. Fusion PCU photostabiliser tools For all the above tools, we can supply : Refurbished equipment with installation and warranty System upgrades Spare and consumable parts, OEM and 2nd source Service and Training

In 2013 Trymax Semiconductor received ISO 9001-2008 accreditation

Page 129: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

129

Page 130: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

130

Contact Ted O'Shea

Telephone 00353 (21) 490 4159

Email [email protected]

Website www.tyndall.ie

The mission of Tyndall National Institute is to provide research excellence in Micro/Nano Electronics, Photonics, Microsystems, Theory Modelling and Design. Tyndall has a strong portfolio of research activities with industry and other research organisations. A key focus of the Institute is ‘to drive research investment into value for money for industry.

Tyndall, known formally as the National Microelectonics Research Centre established in 1983, was established in 2004 to provide a critical mass of researchers to support the growth of the smart knowledge based economy. Tyndall National Institute is a major multidisciplinary research institute with over 400 staff & researchers, and an infrastructure of over €180 Million. It has the required scale of resources to compete effectively in the international R&D market, while also providing the highest level of expertise directly to industry. Tyndall’s research is chiefly applied to finding solutions for the needs of society in Communications, Energy, Environment and Health. Many reported scientific and technological breakthroughs are testimony to the quality of the research conducted at Tyndall. It is the application of that research and Tyndall’s track record of industry engagement and technology licensing that sets it apart from many other research providers. Education of the next generation of researchers is also of vital importance and Tyndall hosts 125 PhD students who make a major contribution to Tyndall’s research output before taking their expertise and skills into further research and into industry.

Tyndall’s fabrication, packaging and test facilities are extensive and are used to provide technology support services to industry and other research organisations. Tyndall’s DTE group provides advanced engineering support to IC designers, semiconductor technology developers and the intellectual property assertion community. This it does with its extensive electrical measurements facilities, as well as its technology and design investigative resources.

ANALYTICAL TOOLS & SERVICES CONSULTANCY SERVICES R&D SERVICES RELIABILITY TEST RF RELATED SERVICES SOFTWARE & AUTOMATION TESTING TRAINING WAFER FABRICATION

Markets : MICRO/NANO ELECTRONICS SEMICONDUCTOR TECHNOLOGY & DESIGN INTEGRATED CIRCUIT DESIGN , MIXED SIGNAL TECHNOLOGY & DESIGN RF TECHNOLOGY & DESIGN, MICROSYSTEMS TECHNOLOGY & DESIGN, INTEGRATED PASSIVE COMPONENTS ,INTELLECTUAL PROPERTY PROTECTION, OPTO-ELECTRONICS TECHNOLOGY & DESIGN THEORY, MODELLING & DESIGN

Page 131: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

131

Contact Alan Routledge

Telephone 02380760909

Email [email protected]

Website www.u4global.com

U4Global Solutions is a unique company that provides fulfilment solutions on both the sell and buy side of your business. From marketing campaigns to outsourced procurement and second sourcing, the company uses its global networks of partners, customers and suppliers to help your business succeed. We like to think of ourselves as an extension to your own company, understanding your needs then executing efficiently on the agreed plan. We pride ourselves on our open and honest business ethic, and long-term relationships with our customers and suppliers. Outsourced Procurement, Sourcing and Fulfilment We operate as your own procurement organisation without the headcount and associated costs, usually saving money in the process. Supply chain and cost reduction programmes have led to a wide range of products being sourced, achieving savings from non-technical everyday items as well as high technology specialist equipment. We manage all shipment and tracking issues, ensuring on-time deliveries. Budgeting Services: Our independent status means we offer impartial advice and can provide multiple options for discussion and decision making with management. We have been involved in early stage development of several companies. Sale, Resale and Remarketing Our Internet and global presence gives us a platform to market goods and services across the globe quickly and efficiently. Company Start-Up Services Experience in many high tech start-up businesses allows us to provide guidance, and advice on several aspects of businesses in their early stages. Database, Internet and Software We have excellent developers and understanding of the business needs from simple websites through e-commerce to full supply chain and ERP integration.

EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES

SEE OUR ADVERT ON PAGE 115

Page 132: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

132

Contact Dr Mark Furlong

Telephone 01908 210444

Email [email protected]

Website www.wafertech.co.uk

Wafer Technology Ltd is a manufacturer of III-V semiconductor materials and epitaxy-ready substrates. We synthesise, grow (as single crystals), cut and polish wafers (2", 3" and 4") of Indium Antimonide, Gallium Antimonide, Indium Phosphide, Indium Arsenide and Gallium Arsenide as well as synthesise and supply polycrystalline Indium Phosphide and Gallium Arsenide. These products are used as critical starting materials in many electronic and optoelectronic applications which include laser diodes, LEDs, infrared detectors and solar cells. All activities take place at a 50,000sq ft. building in Milton Keynes which includes clean room facilities.

The company is a subsidiary of IQE Plc, which is based in Cardiff, Wales.

PLEASE SEE THE WEBSITE FOR MORE INFORMATION

Page 133: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

133

Contact Iain Gardner

Telephone 01525 873483

Email [email protected]

Website www.waferdata.com

Waferdata offers software services and software products to the semiconductor test and fabrication industries. The extensive experience we have in the semiconductor manufacturing and test industries is combined with software skills and some lateral thinking to provide practical solutions in data collection and analysis and equipment control, integration and automation. The bespoke software services we offer provide specialist outsourcing options for both fabless companies and IDMs. Datavision is our own data collection and analysis product. We distribute a defect spatial signature analysis product, ASSA, for AOVtech. Services ATE programming and productionising. Test and fab data filtering and creation (including STDF). Data collection, data integrity, data analysis and database management. Fab and test data integration. Machine control and embedded software. Datavision Data analysis platform with database and web interface. Correlation across different data types. Built-in automated analysis capability. Dedicated data loaders by data type and customisable data presentation. ASSA Inline production monitoring tool. Detects spatial signatures on patterned and un-patterned wafers. Requires no training or configuration. Predicts the source of defects by tool type.

ANALYTICAL TOOLS AND SERVICES CONSULTANCY SERVICES SOFTWARE AND AUTOMATION TESTING

Page 134: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

134

Contact Dan Somers

Telephone +44 (0)20 7060 6990

Email [email protected]

Website www.warwickanalytics.com

Warwick Analytic's advanced analytics software for manufacturers automatically locates the root causes of faults. It can solve No Fault Found issues and reduce COPQ by up to 75%.

The software takes disparate, incomplete or ‘dirty’ data and identifies and recommends resolutions within seconds. Warwick Analytics also looks at ‘in-tolerance’ failures i.e. where issues have still developed in spite of all of the controls being within tolerance. Guaranteed to solve your most costly recurring faults.

Reduce the Cost of Poor Quality - Improve life cycle costs - Quicker times to market - Lower environmental impact - Works with existing statistical controls and Manufacturing Execution Systems (MES) - Identifies current and potential issues to prevent downtime and expensive warranty issues - Future data requirements are suggested.

ANALYTICAL TOOLS & SERVICES CONSULTANCY SERVICESSOFTWARE & AUTOMATION TESTING

Page 135: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

135

Contact Steve Hall

Telephone 00353 (0)165 10121

Email [email protected]

Website www.westerwoodglobal.com

Westerwood Global provide operational and technical support to both manufacturers and OEMs in the Semiconductor, Pharmaceutical, Medical Device and Electronics industries.

EQUIPMENT SUPPORT SERVICES OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES) TRAINING

Page 136: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

NMI Manufacturing Supplier Directory 2014

136

Contact Phillip Mingay

Telephone 08453 707041

Email [email protected]

Website www.wordentec.com

Wordentec are a global supplier of instruments and systems to the high vacuum and thin film coatings industry. Using the company's extensive vacuum engineering experience, Wordentec are able to provide innovative solutions to vacuum engineering challenges. The company has a growing range of new products including instruments and accessories for thin film coating. The company continues to provide system refurbishment and reconfiguration services that offer a very economic alternative to new equipment. Markets : The equipment manufactured by Wordentec includes:

Small R+D vacuum coating systems for cutting edge pre-production applications such as photovoltaics and storage media.

Industrial vacuum systems with a variety of coating technologies such as resistance and electron beam evaporation, ion

beam and magnetron sputtering sources.

Ultra-high vacuum systems for specialist applications such as university research projects and applications within the aerospace industry.

Wordentec provides application specific knowledge and expertise to create custom made equipment with flexibility to help you realise the full potential of vacuum coating.

CONSULTANCY SERVICES EQUIPMENT SALES & REFURBISHMENT EQUIPMENT SPARES, INSTRUMENTATION & CONSUMABLES EQUIPMENT SUPPORT SERVICES OUTSOURCED CLEANROOM SERVICES (STAFF, PROCESSES) RF RELATED SERVICES VACUUM RELATED EQUIPMENT & SERVICES

Page 137: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

RETRONIX

ADVERT

Page 138: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

STMicroelectronics (R&D) Supply Design sureCore Swansea University Swindon Silicon Systems Synapse Design Automation Synergie-CAD UK Synopsys (Northern Europe) Teknis Telexsus Teradyne Tetreon Technologies Texas Instruments Thales (UK) Tokyo Electron Europe Toumaz Technology Trymax Semiconductor Tyndall National Institute U4Global Solutions University of Bristol University of Glasgow University of Greenwich University of Hertfordshire University of Manchester University of Newcastle University of Nottingham University of Southampton University of Strathclyde University of Surrey University of the West of England, Bristol (UWE) University of Warwick University of York Visteon Wafer Technology Waferdata Warwick Analytics Westerwood (WG) Global Wolfson Microelectronics Wordentec Xaar plc Xilinx XMOS Semiconductor Zonefox

NMI MEMBERS

EquipIC Supply Chain Ericsson Television Limited European Plasma Technology Eursemi Ltd eXception EMS Limited Feabhas FEI UK Ltd FirstEDA Ltd Fluidic Ltd Fourteen Technology Freescale Fujitsu Microelectronics Europe GaN Systems Garfield Microelectronics GE Energy Power Conversion UK GEO Semiconductor Global Technologies Gold Standard Simulations Green Hills Software Heriot-Watt University Highland Scientific Hitex UK ICEMOS Technology Ichor Systems ICP Search Imagination Technologies IMEC Imperial College Infineon Technologies Ingenious Quality Inside Secure Intel Corporation UK Intellectual Capital Group International Rectifier Newport Ion Beam Services IQE Europe ITDev IXYS UK Westcode Jaguar Land Rover John G Peck Kaiam Europe KMG Ultra Pure Chemicals Lancaster University Landis & Gyr Lauterbach Logitech Logos Logistics Loughborough Surface Analysis LTX Credence Lynbond 2000 Manchester Metropolitan University Maser Engineering Matrics Limited Maxim Integrated McLaren Electronic Systems MCS Mediatek Megatech memsstar Mentor Graphics Metryx Limited MFG Vision Microlease Finance Micron Europe micross components ML Electronics Moortec Semiconductor Multi-Lab MVTS Technologies Nanoscope Services Nanotech Semiconductor National Physical Laboratory

Neul Nissan - Europe Nor-Cal UK NTEKLitho Nujira Nvidia NXP Semiconductor Oclaro Optocap Oxford Brookes University Oxford Digital Patina Lighting Perforce Software Phaedrus Systems Phasix ESD Philips Lighting Photronics UK Plastic Logic Plessey Semiconductor Plextek Consulting Powervation Premier Eda Solutions Presto Engineering Europe PRP Optoelectronics Publitek Pulse Power and Measurement Pure Wafer Qualcomm (UK) Rakon UK Raytheon Systems REC UK Redux Laboratories LLP Reliability Consultancy Reliability Solutions Reltech Limited Renesas Electronics Europe Retronix Semiconductor RF Global Solutions RF Support Ltd Ricardo UK Limited Rochester Electronics Rohde & Schwarz Roke Manor Research RoodMicrotec S3 Alliance Limited Samsung Cambridge Solutions Centre Sanmina Corporation Savantech Scintilla Scotech Scottish Enterprise Scottish Microelectronics Centre Seagate Technology (Ireland) Segin Global Solutions Sel-Tek SELEX ES Semefab Semtech Limited SERMA Technologies Shin-Etsu Handotai Siemens plc Traffic Solutions Silera Silicon Agility Silicon and Software Systems (S3) Silicon South West Simple Technical Solutions (STS) SiSTEM Technology SiVenture Smartest GmbH Softinsys Solutions Driven Spectron GCS SPS SPTS Technologies Stepper Technology STFC Rutherford Appleton Laboratory

3M UK plc 40-30 Abstract Solutions Adamant UK Co Aero Engine Controls AIXTRON Akya Holdings Almond Engineering Alstom Grid Amantys AMS Analog Devices AnCuig Ltd ANSYS UK Limited Anvil Semiconductors Apical Applications Solutions (Electronics & Vision) L Applicos Aptcore ARM ASE (Europe) Inc. ATEEDA Atmel BAE Systems Bitwise Bourns Limited Broadcom Brooks Automation Busch Semicon Cadence Design Systems Cambridge Microelectronics Cambridge Semiconductor Capital Strategy Corporate Finance Limited City University London CML Microcircuits (UK) Compound Photonics Compound Semiconductor Technologies Compugraphics International Converter Technology CoreTest Technologies Coveritas CSR Deerns UK Defect Detection Technologies DELTA Microelectronics Deposition Technology DfT Solutions Dialog Semiconductor Diodes Zetex Semiconductors DisplayLink (UK) Doulos DSP Valley Dynex Semiconductor E2V Technologies Ebara Precision Machinery Europe EDA Solutions eDEX Systems Electronics Link Asia Element Six Eltek Semiconductors Embecosm Ensilica Enterprise Q eoSemi EPSRC EPSRC Centre for Innovative Manufacturing in Through Life Engineering Services

Page 139: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð

Exclusive Global License Holder for Single Chamber Etch

(Rainbow® & TCP® )

Product Range

Deposition (Novellus) - Concept One®

Strip (Novellus/Gasonics) - A Series, L3510®, PEP 3510®

Rainbow® - 4420, 4520, 4520i, 4520XL, 4620 & 4720

TCP® - TCP9400 & TCP9600

AutoEtch - 490, 590, 690 & 790

OnTrak - Synergy, Integra, Series I & II

Single-Wafer Clean - SP Series

TCU - Edwards 40/80 & Lam 2080

Services

Fully Refurbished System Packages

Field Service Support

Field Process Support

Legacy System Spare Parts

System Spare Parts & Sub-assembly Repairs

Customer Technical Support

Process Development/Training

Web:- www.ichorsystems.com/legacyservices.html -Tel: +44 1355 222 166

Page 140: NMI Manufacturing Supplier Directory í ð · PDF fileMVTS Technologies NTEKLitho ... (STAFF, PRO ESSES) oreTest Technologies ... NMI Manufacturing Supplier Directory í ð