11
1 2 On an efficient NoC multicasting scheme in support of multiple applications running 3 on irregular sub-networks 4 Xiaohang Wang a,b, * , Mei Yang b , Yingtao Jiang b , Peng Liu a 5 a Department of Information Science and Electronic Engineering, Zhejiang University, Hangzhou, Zhejiang 310027, PR China 6 b Department of Electrical and Computer Engineering, University of Nevada, Las Vegas 89154, USA 7 9 article info 10 Article history: 11 Available online xxxx 12 Keywords: 13 Network-on-chips (NoCs) 14 Chip multiprocessor (CMP) 15 Multicast 16 Routing 17 18 abstract 19 When a number of applications simultaneously running on a many-core chip multiprocessor (CMP) chip 20 connected through network-on-chip (NoC), significant amount of on-chip traffic is one-to-many (multi- 21 cast) in nature. As a matter of fact, when multiple applications are mapped onto an NoC architecture with 22 applicable traffic isolation constraints, the corresponding sub-networks of these applications are mapped 23 onto actually tend to be irregular. In the literature, multicasting for irregular topologies is supported 24 through either multiple unicasting or broadcasting, which, unfortunately, results in overly high power 25 consumption and/or long network latency. To address this problem, a simple, yet efficient hardware- 26 based multicasting scheme is proposed in this paper. First, an irregular oriented multicast strategy is pro- 27 posed. Literally, following this strategy, an irregular oriented multicast routing algorithm can be designed 28 based on any regular mesh based multicast routing algorithm. One such algorithm, namely, Alternative 29 Recursive Partitioning Multicasting (AL + RPM), is proposed based on RPM, which is designed for regular 30 mesh topology originally. The basic idea of AL + RPM is to find the output directions following the basic 31 RPM algorithm and then decide to replicate the packets to the original output directions or the alternative 32 (AL) output directions based on the shape of the sub-network. The experiment results show that the pro- 33 posed multicast AL + RPM algorithm can consume, on average 14% and 20% less power than bLBDR (a 34 broadcasting-based routing algorithm) and the multiple unicast scheme, respectively. In addition, 35 AL + RPM has much lower network latency than the above two approaches. To incorporate AL + RPM into 36 a baseline router to support multicasting, the area overhead is fairly modest, less than 5.5%. 37 Ó 2010 Elsevier B.V. All rights reserved. 38 39 40 1. Introduction 41 Advance in technology continues to drive the increase of tran- 42 sistor integration capacity. It is estimated that by 2015, there will 43 be 100 billion transistors integrated on a 300 mm 2 die [1]. To ex- 44 ploit this large number transistors and also take into consideration 45 of pressing high power consumption of ever bigger chips, the de- 46 sign paradigm is migrating to many-core architectures [1,2]. Net- 47 work-on-chip (NoC) [3] has been proposed as the mainstream 48 on-chip network architecture to efficiently interconnect the large 49 number of (16 or more) processing cores integrated on a many- 50 core system. Some most recent, high profile examples include In- 51 tel’s Teraflop [4] and Tilera [5] chips featuring many-core chip mul- 52 tiprocessors (CMPs) architectures with 2D mesh topologies [13] for 53 on-chip interconnect. 54 With the development of diverse applications and program- 55 ming models on CMPs, one-to-many communication and one-to- 56 all communication are becoming more common. For example, in 57 CMPs with cache coherent shared memory systems, the cache 58 coherence protocols exhibit one-to-many communication charac- 59 teristics to keep the ordering of different requests or to invalidate 60 shared data on different cache nodes [6]. In [7], it has been ob- 61 served that 5–10% of the network traffic is one-to-many in nature, 62 ranging from scientific workloads to commercial workloads, in 63 communication traces of different cache coherence protocols and 64 operand network. Therefore, efficient support of one-to-many 65 communications in CMPs, particularly hardware multicast support, 66 will benefit a wide range of applications by boosting the network 67 performance with reduced power consumption. Unfortunately, 68 up to date, there is only very limited number of chip router designs 69 that actually support multicasting [6–8]. 70 In addition, the following issues make multicast supporting 71 even more complicated. The first issue is topology irregularity. 72 The large number of cores on a CMP unquestionably offers high 73 parallelism in computation. To better utilize these vastly available 74 computation resources, virtualization of the chip becomes a 0141-9331/$ - see front matter Ó 2010 Elsevier B.V. All rights reserved. doi:10.1016/j.micpro.2010.08.003 * Corresponding author at: Department of Information Science and Electronic Engineering, Zhejiang University, Hangzhou, Zhejiang 310027, PR China. E-mail addresses: [email protected] (X. Wang), [email protected] (M. Yang), [email protected] (Y. Jiang), [email protected] (P. Liu). Microprocessors and Microsystems xxx (2010) xxx–xxx Contents lists available at ScienceDirect Microprocessors and Microsystems journal homepage: www.elsevier.com/locate/micpro MICPRO 1836 No. of Pages 11, Model 5G 19 August 2010 Please cite this article in press as: X. Wang et al., On an efficient NoC multicasting scheme in support of multiple applications running on irregular sub- networks, Microprocess. Microsyst. (2010), doi:10.1016/j.micpro.2010.08.003

Microprocessors and Microsystems - University of … Fig. 1. Sub-network ... 152 age packet latency by 50% and saves the router and link power by 153 25% compared with VCTM. ... connectivity

Embed Size (px)

Citation preview

Page 1: Microprocessors and Microsystems - University of … Fig. 1. Sub-network ... 152 age packet latency by 50% and saves the router and link power by 153 25% compared with VCTM. ... connectivity

1

2

3

4

56

7

9

1011

121314151617

1 8

39

40

41

42

43

44

45

46

47

48

49

50

51

52

53

Microprocessors and Microsystems xxx (2010) xxx–xxx

MICPRO 1836 No. of Pages 11, Model 5G

19 August 2010

Contents lists available at ScienceDirect

Microprocessors and Microsystems

journal homepage: www.elsevier .com/locate /micpro

On an efficient NoC multicasting scheme in support of multiple applications runningon irregular sub-networks

Xiaohang Wang a,b,*, Mei Yang b, Yingtao Jiang b, Peng Liu a

a Department of Information Science and Electronic Engineering, Zhejiang University, Hangzhou, Zhejiang 310027, PR Chinab Department of Electrical and Computer Engineering, University of Nevada, Las Vegas 89154, USA

a r t i c l e i n f o

1920212223242526

Article history:Available online xxxx

Keywords:Network-on-chips (NoCs)Chip multiprocessor (CMP)MulticastRouting

2728293031323334353637

0141-9331/$ - see front matter � 2010 Elsevier B.V. Adoi:10.1016/j.micpro.2010.08.003

* Corresponding author at: Department of InformEngineering, Zhejiang University, Hangzhou, Zhejiang

E-mail addresses: [email protected] (X. WaYang), [email protected] (Y. Jiang), [email protected]

Please cite this article in press as: X. Wang et anetworks, Microprocess. Microsyst. (2010), doi:

a b s t r a c t

When a number of applications simultaneously running on a many-core chip multiprocessor (CMP) chipconnected through network-on-chip (NoC), significant amount of on-chip traffic is one-to-many (multi-cast) in nature. As a matter of fact, when multiple applications are mapped onto an NoC architecture withapplicable traffic isolation constraints, the corresponding sub-networks of these applications are mappedonto actually tend to be irregular. In the literature, multicasting for irregular topologies is supportedthrough either multiple unicasting or broadcasting, which, unfortunately, results in overly high powerconsumption and/or long network latency. To address this problem, a simple, yet efficient hardware-based multicasting scheme is proposed in this paper. First, an irregular oriented multicast strategy is pro-posed. Literally, following this strategy, an irregular oriented multicast routing algorithm can be designedbased on any regular mesh based multicast routing algorithm. One such algorithm, namely, AlternativeRecursive Partitioning Multicasting (AL + RPM), is proposed based on RPM, which is designed for regularmesh topology originally. The basic idea of AL + RPM is to find the output directions following the basicRPM algorithm and then decide to replicate the packets to the original output directions or the alternative(AL) output directions based on the shape of the sub-network. The experiment results show that the pro-posed multicast AL + RPM algorithm can consume, on average 14% and 20% less power than bLBDR (abroadcasting-based routing algorithm) and the multiple unicast scheme, respectively. In addition,AL + RPM has much lower network latency than the above two approaches. To incorporate AL + RPM intoa baseline router to support multicasting, the area overhead is fairly modest, less than 5.5%.

� 2010 Elsevier B.V. All rights reserved.

38

54

55

56

57

58

59

60

61

62

63

64

65

66

67

68

1. Introduction

Advance in technology continues to drive the increase of tran-sistor integration capacity. It is estimated that by 2015, there willbe 100 billion transistors integrated on a 300 mm2 die [1]. To ex-ploit this large number transistors and also take into considerationof pressing high power consumption of ever bigger chips, the de-sign paradigm is migrating to many-core architectures [1,2]. Net-work-on-chip (NoC) [3] has been proposed as the mainstreamon-chip network architecture to efficiently interconnect the largenumber of (16 or more) processing cores integrated on a many-core system. Some most recent, high profile examples include In-tel’s Teraflop [4] and Tilera [5] chips featuring many-core chip mul-tiprocessors (CMPs) architectures with 2D mesh topologies [13] foron-chip interconnect.

69

70

71

72

73

74

ll rights reserved.

ation Science and Electronic310027, PR China.ng), [email protected] (M.ju.edu.cn (P. Liu).

l., On an efficient NoC multicas10.1016/j.micpro.2010.08.003

With the development of diverse applications and program-ming models on CMPs, one-to-many communication and one-to-all communication are becoming more common. For example, inCMPs with cache coherent shared memory systems, the cachecoherence protocols exhibit one-to-many communication charac-teristics to keep the ordering of different requests or to invalidateshared data on different cache nodes [6]. In [7], it has been ob-served that 5–10% of the network traffic is one-to-many in nature,ranging from scientific workloads to commercial workloads, incommunication traces of different cache coherence protocols andoperand network. Therefore, efficient support of one-to-manycommunications in CMPs, particularly hardware multicast support,will benefit a wide range of applications by boosting the networkperformance with reduced power consumption. Unfortunately,up to date, there is only very limited number of chip router designsthat actually support multicasting [6–8].

In addition, the following issues make multicast supportingeven more complicated. The first issue is topology irregularity.The large number of cores on a CMP unquestionably offers highparallelism in computation. To better utilize these vastly availablecomputation resources, virtualization of the chip becomes a

ting scheme in support of multiple applications running on irregular sub-

Page 2: Microprocessors and Microsystems - University of … Fig. 1. Sub-network ... 152 age packet latency by 50% and saves the router and link power by 153 25% compared with VCTM. ... connectivity

75

76

77

78

79

80

81

82

83

84

85

86

87

88

89

90

91

92

93

94

95

96

97

98

99

100

101

102

103

104

105

106

107

108

109

110

111

112

113

114

115

116

117

118

119

120

121

122

123

124

125

126

127

128

129

130

131

132

133

134

135

136

137

138

139

100

100100

10050

5050

50

10

1010

10

App 1

10

App 1 App 2

App 3

App 2 App 3

Arrival time 1ms 2ms

3ms

Fig. 1. Sub-network partition and task mapping of multiple applications on a 5 � 5 based mesh NoC.

2 X. Wang et al. / Microprocessors and Microsystems xxx (2010) xxx–xxx

MICPRO 1836 No. of Pages 11, Model 5G

19 August 2010

necessity [9], where resources can be distributed among differentvirtual machines [3]. Applying virtualization [8] at the NoC levelbasically allows a single NoC-based CMP to be shared by multipleapplications with each mapped to different sub-networks of thechip [10] either statically [11] or dynamically [12]. Fig. 1 showsan example with three applications arriving at 1 ms, 2 ms, and3 ms. The three applications are allocated to three sub-networkswhich may not be regular shapes (e.g., 2D mesh, torus). On theother hand, virtualization requires traffic isolation [8]; that is, com-munication between nodes in a virtualized region is limited to thesub-network only. The irregular sub-network and traffic isolationrequirements together negate regular 2D mesh oriented routingalgorithms, like XY routing, odd–even routing, etc. [13].

The second issue is unpredictability of the application commu-nication behavior. Different types of applications, such as desktop,server, embedded systems, will be executed on general purposeCMPs. It is impossible to pre-characterize the communication pat-terns among the cores inside a sub-network. As a result, custom-ized NoC routing approaches (like the ones using routing tables[14]) may not be feasible.

Hence, it is important to design an efficient multicast mecha-nism which supports irregular topologies without the need of arouting table. In this paper, an irregular sub-network oriented mul-ticast strategy is first proposed. Following this strategy, an irregu-lar sub-network oriented multicast routing algorithm, namely,Alternative Recursive Partitioning Multicast (AL + RPM), is devel-oped based on RPM [13], an efficient deterministic multicast rout-ing algorithm proposed for regular mesh topology. To our bestknowledge, our approach is the first multicast routing approach,as opposed to the broadcast-based one [8], that targets to irregularsub-networks.

In the rest of the paper, Section 2 reviews the existing work onmulticast routing schemes in NoCs. Section 3 presents the prelim-inaries. Section 4 describes the irregular sub-network oriented

Please cite this article in press as: X. Wang et al., On an efficient NoC multicasnetworks, Microprocess. Microsyst. (2010), doi:10.1016/j.micpro.2010.08.003

multicast routing strategy and algorithm. Section 5 reports the per-formance evaluation of AL + RPM. Finally, Section 6 concludes thepaper.

2. Related work

Multicast communication has been extensively studied in com-puter networks and interconnection networks [13]. However, dueto the power and area constraints pertaining to NoCs, supportingmulticast in NoCs has a different set of requirements. Particularly,an efficient multicasting approach for NoCs should result in lownetwork latency and low power and area consumptions. A simplemulticasting approach is to send a multicast packet as multipleunicast packets. However, such a scheme suffers from very largenetwork latency and high power consumption [7]. Below reviewsexisting multicasting approaches proposed for mesh-based NoCs.

The multicast problem of regular mesh topology has been stud-ied as in [13]. Generally, there are two types of multicast routingstrategies, namely, path-based [15] and tree-based [6,7,16–18]multicast. Path-based multicast routing is to deliver the packet toeach destination sequentially following one path [15]. Path-basedmulticast is attractive for its simplicity in hardware design. How-ever, if the destination nodes are widely spread, path-based multi-cast may suffer higher latency compared to tree-based multicast. Itis shown in [7] that path-based multicast may increase network la-tency by 48% compared to a unicast router.

Tree-based multicast routing [6,7,16–18] is to deliver thepacket along a common path as far as possible and replicate pack-ets (branch) for a unique set of destination nodes when necessary.Several tree-based multicast approaches have been proposed forNoCs with regular mesh topology. The virtual circuit tree-basedmulticast (VCTM) [7] avoids sending redundant packets as multi-ple unicast. However, it uses a lookup table based multicasting

ting scheme in support of multiple applications running on irregular sub-

Page 3: Microprocessors and Microsystems - University of … Fig. 1. Sub-network ... 152 age packet latency by 50% and saves the router and link power by 153 25% compared with VCTM. ... connectivity

140

141

142

143

144

145

146

147

148

149

150

151

152

153

154

155

156

157

158

159

160

161

162

163

164

165

166

167

168

169

170

171

172

173

174

175

176

177

178

179

180

181

182

183

184

185

186

187

188

189

190

191

192193195195

196

197

198

199

200

201202

204204

205

206

207

208

209

210211

213213

214

215

216

217

218

219

220

X. Wang et al. / Microprocessors and Microsystems xxx (2010) xxx–xxx 3

MICPRO 1836 No. of Pages 11, Model 5G

19 August 2010

router which has high power and area overhead. The approaches in[16,19] extend the unicast XY routing to support multicasting,where a packet will always be sent to the X direction first and rep-licated if there are destinations in the Y direction. The approach in[19] is referred as multicast XY in later text. In [17,18], tree-basedadaptive multicast routing approaches are proposed. The regionpartition multicast (RPM) [6] selects the replication points for mul-ticast packets based on the distribution of destinations in the net-work partition. Each node partitions the whole network into atmost eight regions according to its position. Replication decisionsare made by checking the regions that the destination nodes fallin. The simulation results in [6] show that RPM improves the aver-age packet latency by 50% and saves the router and link power by25% compared with VCTM.

However, the aforementioned approaches [6,7,16–19] cannotsupport multicasting for irregular sub-networks. The bLBDR rout-ing [8] proposed for collective communication in irregular sub-net-works supports multicasting by broadcasting in sub-networks. InbLBDR, connectivity bits are used to define different sub-networks.However, the broadcast nature of this scheme makes the networkcongested and results in higher power consumption.

In this paper, we focus on designing hardware-based multicastrouting scheme for irregular sub-networks and propose the alter-native RPM routing scheme based on RPM [6]. Compared withthe broadcast-based bLBDR, AL + RPM inherits the efficiency oftree-based multicast routing while provides the flexibility to sup-port irregular sub-networks.

3. Preliminaries

3.1. Architecture and power models

The target NoC architecture is a tile based NoC, which is com-posed of N � N tiles interconnected by a 2-D mesh network. Each tile(node exchangeably), indexed by its coordinate (x, y) or its ID xN + y,where 0 6 x 6 N � 1 and 0 6 y 6 N � 1, has one processing core andone router. Each router (shown in Fig. 2) connects to its local process-ing core and four neighbour tiles through bidirectional channels. A5 � 5 crossbar switch is used as the switching fabric of the router.The arbitration unit arbitrates the connection requests sent fromthe input ports so that each output receives data from at most one in-

221

222

223

224

225

226

227...

Input buffers

VCn

Input 0 Switching fabric

VC allocation

Arbitration

Output 0

Output 4

...

VC1

...

Input buffers

VCn

VCallocatitt on

VC1

Routing unit

Replication unit

Input 4

...

...

Input buffers

VCn

VC allocation

VC1

Routing unit

Replication unit

...

Fig. 2. Router architecture.

Please cite this article in press as: X. Wang et al., On an efficient NoC multicasnetworks, Microprocess. Microsyst. (2010), doi:10.1016/j.micpro.2010.08.003

put port. At each input port, buffers are used to support virtual chan-nels (VCs). The VC allocation unit controls the virtual channelallocation. The routing unit decides the output directions.

Assume wormhole switching is used here. To support multicast,the replication unit is used to make copy of flits of a multicastpacket according to the decision of the routing unit. The replicationis done inside the crossbar where a packet is forwarded to multipleoutput channels. Asynchronous replication [20] scheme is chosenas the replication approach. In asynchronous replication, multiplereplicated flits are allowed to be forwarded independently. If onereplicated flit is blocked, other replicated flits can be forwardedasynchronously.

The power model used in [21] is followed in this study. The bitpower (Ebit) is defined as the power consumed when one bit of datais transported through a router, and it can be calculated as,

Ebit ¼ ESbit þ EBbit þ EWbit ð1Þ

where ESbit, EBbit, and EWbit represent the power consumed by theswitch, the buffer, and the interconnection wires inside the switch-ing fabric, respectively. As explained in [21], EBbit and EWbit are neg-ligibly small compared to ESbit. Hence, the average powerconsumption for a unicast communication which sends BW bitsfrom source tile s to destination tile t can be represented as,

Es;tUnicast ¼ ghops � ESbit þ ðghops � 1Þ � ELbit ð2Þ

where ghops is the number of routers traversed from tile s to tile t,ESbit is the power consumed by the switch, and ELbit is the powerconsumed on each link.

The average power consumption for a multicast communicationwhich sends 1 bit from the source tile s to the set of destinationtiles D can be represented as,

Es;DMulticast ¼ gl � ELbit þ gR � ESbit ð3Þ

where gR is the total number of routers and gl is the total number oflinks that are on the multicast path from tile s to all tiles in T,respectively.

The objective of this work is that, given a sub-network of a 2Dmesh NoC, design a hardware multicast support scheme, to (1)support irregular sub-networks, (2) avoid using routing tables,and (3) have low network latency and power consumption.

3.2. Assumptions and definitions

The following assumptions are made throughout the paper.

Assumption 1. The shape of the sub-network mapped with anapplication is near convex [12]. More specifically, we only considersuch sub-networks that, there exists at least one minimal path(measured in hop counts) completely inside the sub-network foreach pair of nodes inside the sub-network. Fig. 3a illustrates the

(a)

(b)

Fig. 3. (a) Sub-network allowed. (b) Sub-network not allowed.

ting scheme in support of multiple applications running on irregular sub-

Page 4: Microprocessors and Microsystems - University of … Fig. 1. Sub-network ... 152 age packet latency by 50% and saves the router and link power by 153 25% compared with VCTM. ... connectivity

228

229

230

231

232

233

234

235

236

237

238

239

240

241

242

243

244

245

246

247

248

249

250

251

252

253

254

4 X. Wang et al. / Microprocessors and Microsystems xxx (2010) xxx–xxx

MICPRO 1836 No. of Pages 11, Model 5G

19 August 2010

sub-network shapes that are considered. Fig. 3b shows an exampleof the sub-network shape wherein the minimal path between thetwo dotted nodes is not completely inside the sub-network.

255

256

257

258

259

Assumption 2. The applications can be statically or dynamicallymapped to the NoC-based CMPs. For dynamic mapping [22], aglobal manager processor (GM) is responsible for resourcemanagement.

260

261

262

263

264

265

266

267

268

269

270

271

272

273

274

275

276

277

Assumption 3. In each packet, the destination addresses areencoded in bit string [13].

To represent the shape of a sub-network, connectivity bits [8]are used at each router.

Definition 1. Connectivity bits. Each router has four connectivitybits, CN, CE, CS, and CW, each defines the connectivity at the specificoutput direction. Suppose a tile has coordinate (x, y), CN is 1 if tile(x, y) and its north neighbour tile (x, y � 1) are in the same sub-network. Similarly, Cx is 1 if the tile and its neighbour tile on the xdirection are in the same sub-network. For example, suppose therun time application mapping algorithm in [12] is used to mapapplications 1–3 (shown in Fig. 4a). The four connectivity bits oftile 6 is shown in Fig. 4b.

A tile may be shared by several sub-networks. For example, acache memory may be shared by several applications. Fig. 4cshows that tile 2 is shared by two overlapping sub-networks. Theconnectivity bits in Definition 1 cannot describe overlapping sub-

CW =

0 1 2 3 4

5 6 7 8 9

10 11 12 13 14

15 16 17 18 19

20 21 22 23 24

App 1 App 2

App 3

0 1 2 3 4

5 6 7 8 9

10 11 12 13 14

15 16 17 18 19

20 21 22 23 24

sub-nApp 1

App 2

ECW =CW [

x

y

(a)

(c)

(b)

(d)

(e)

Fig. 4. (a) Sub-networks with three applications mapped on. (b) Connectivity bits of nodgenerated using a MUX. (e) Extended connectivity bits of node 2 for sub-network 1. (f)

Please cite this article in press as: X. Wang et al., On an efficient NoC multicasnetworks, Microprocess. Microsyst. (2010), doi:10.1016/j.micpro.2010.08.003

networks. As in [8], to support up to M overlapped sub-networks,each connectivity bit is extended to M bits indexed by the sub-net-work ID (Fig. 4c). For example, in Fig. 4d, CN is extended intoCN[1] , . . . , CN[4] if four sub-networks need be supported.

Definition 2. Extended connectivity bits. Each router located at tilewith coordinate (x, y) has 4 �M connectivity bits, {CN[1] , . . . ,CN[M]}, {CW[1] , . . . , CW[M]}, {CE[1] , . . . , CE[M]}, and {CS[1] , . . . , CS[M]}.Suppose a tile has coordinate (x, y), Cx[q] (q = 1 , . . . , M) = 1 if tile(x, y) and its neighbour tile on the x direction are in the same sub-network with ID q. Extended connectivity (EC) bits ECN, ECE, ECS,and ECW are defined as follows. Given the sub-network ID q,ECN = CN[q], ECW = CW[q], ECE = CE[q], ECS = CS[q].

Fig. 4d shows that a MUX can be used to find the value of an ex-tended connectivity bit from the connectivity bits given a sub-net-work ID. Fig. 4e and f shows the values of extended connectivitybits of tile 2 for sub-network ID 1 and 2, respectively. The connec-tivity bit registers can be set statically or by GM [22] with dynamicmapping.

In addition, we adopted the network partition concept fromRPM [6].

Definition 3. [6] Region. At each tile with coordinate (x, y), thenetwork is partitioned into eight regions, R0, R1 , . . . , R8. R0 is theset of tiles with coordinates (x0, y0), where x0 > x and y0 < y. R1 is setof tiles with coordinates (x, y1), where y1 < y. R2 is the set of tileswith coordinate (x2, y2) where x2 < x and y2 < y. R3 is the set of tileswith coordinates (x3, y) where x3 < x. R4 is the set of tiles with

6

CN =1

CE=01

Cs =0

CE[1]

...

CE[N]

ECE...

etwork ID = 2

2

ECN =CN [1] =0

1] =1 ECE =CE [1] =0

ECS =CS [1] =0

2

ECN =CN [2] =0

ECW =CW[2] =0 ECE =CE [2] =1

ECS =CS[2] =0

MU

X

(f)

e 6. (c) Two overlapped sub-networks sharing node 2. (d) Extended connectivity bitExtended connectivity bits of node 2 for sub-network 2.

ting scheme in support of multiple applications running on irregular sub-

Page 5: Microprocessors and Microsystems - University of … Fig. 1. Sub-network ... 152 age packet latency by 50% and saves the router and link power by 153 25% compared with VCTM. ... connectivity

278

279

280

281

282

283

284

285

286

287

288

289

290

291

292

293

294

295

296

297

298

299

300

301

302

303

304

305

306

307

308

309

310

311

312

313

314

315

316

317

318

319

320

321

322

323

324

325

326

327

328

329

330

331

332

333

334

335

336

337

338

339340

341

342

343

344

345

346

347

348

349

350

351

352

353

354

355

356

357

358

54

10

76

32

1312

98

1514

1110

R2 R1 R0

R3

R4 R5 R6

R7

Fig. 5. Eight regions at the shaded node.

X. Wang et al. / Microprocessors and Microsystems xxx (2010) xxx–xxx 5

MICPRO 1836 No. of Pages 11, Model 5G

19 August 2010

coordinates (x4, y4) where x4 < x and y4 > y. R5 is set of tiles withcoordinates (x, y5) where y5 < y. R6 is the set of tileswith coordinates (x6, y6) where x6 > x and y6 > y. R7 is set of tileswith coordinates (x7, y), where y7 > y. Some nodes at the boundarymay have regions without nodes. For example, R0, R1, and R2 ofnode 0 in Fig. 5 are empty sets.

Fig. 5 shows a partition of the shaded tile.

4. Irregular sub-network oriented multicast routing

4.1. Motivation example and irregular sub-network orientedmulticasting strategy

Before the proposed algorithms are described in detail, anexample is given to explain the motivation. Fig. 6 shows an irreg-ular sub-network composed of five nodes. A multicast packet issent from the source node to two destination nodes. The dashedline represents the path if RPM [6] is used. However, since thesub-network is irregular, the dashed path cannot reach the desti-nations, i.e., at node 4, the packet cannot go West as the link toWest is not available in this sub-network.

Alternatively, if the packet can go North at node 4 as indicatedby the solid arrow in Fig. 6, the packet can arrive at both destina-tions following RPM from node 2.

This example shows that, if the output port found by the multi-cast routing algorithm is not available in the sub-network, thepacket can take an alternative output port which is also on theminimal path to the destination. Each router can check the connec-tivity bits (defined in Section 3.2) to see whether an output port isavailable. The irregular sub-network oriented multicast strategy isthus derived below.

� Find the output directions to all the destinations in the destina-tion set using a multicast routing algorithm designed for regularmesh topology.

d1 0

d2 2

4

RPM

Alternative path

di: destinationss: source

s

3

1

Fig. 6. Path generated by RPM and alterative path in a irregular sub-network.

Please cite this article in press as: X. Wang et al., On an efficient NoC multicasnetworks, Microprocess. Microsyst. (2010), doi:10.1016/j.micpro.2010.08.003

� For each output direction, check the corresponding connectivitybit. If it is set, then the packet will be replicated and sentto the output direction; otherwise, use an alternative outputdirection.

Note that, following the strategy, an irregular sub-network ori-ented multicast routing algorithm can be developed based on anyregular mesh oriented multicast routing algorithm. Due to thesuperiority of RPM over other algorithms (as reviewed in Section2), we develop Alternative RPM as described below.

4.2. Hardware-based multicast routing algorithm for irregular sub-networks

To support multicast in hardware, a multicast routing logicmodule (MRLM) is designed. The MRLM is composed of two sub-modules.

(1) Destination inclusion (DI) sub-module. For a multicast packet,this sub-module checks the regions that the destinationnodes belong to. To perform the destination inclusion func-tion, bit masks are used based on the bit string method [13].Each input port has the following three types of bit vectors.

R2

R4

R3

ting sch

� Input destination bit vector D. To encode all nodes in thenetwork, an (N � N)-bit vector will be used. The ith bit ofthe vector is 1 if the ith node is inside the destination set.

� Bit mask vector for each region. There are eight bit masks,BM_R0 , . . . , BM_R7, each with N � N bits. The mth bit ofBM_Ri is 1 if node m is in region Ri of the current node.BM_Ri’s (i = 0. . .7) are set offline.

� Destination bit vector for each region. Eight bit vectorsIN_R0 , . . . , IN_R7, each with N � N bits, are used to repre-sent the destinations within each region. For example,IN_Ri is obtained by ANDing D with BM_Ri (i = 0. . .7).

Fig. 7 shows an example with the source node 4 and two desti-nations 0 and 2. The three bit vectors at node 4 are shown on theright.

(2) Multicast routing (MR) sub-module. This sub-module deter-mines the output directions of the multicast packet.

The multicast routing sub-module is designed based on theirregular sub-network oriented multicasting routing algorithmAL + RPM. The basic idea of AL + RPM is to find the output direc-tions using RPM and then decide whether to replicate the packetto the original output directions or the alternative (AL) outputdirections which are orthogonal to the original ones based on thecorresponding connectivity bits. In the following, the details ofAL + RPM will be described.

Note that, if destinations are in regions 1, 3, 5, 7, i.e., the X+, X�,Y+, Y� regions, there is no alternative output direction. The reasonis that, according to Assumption 1, the sub-network must be near

0 1 2

3 4 5

6 7 8

0

R0R1

R7

R5 R6

000 10100

8 0Packet headDestinations bit

string (D)

2 17 6 5 4 3

Bit mask for R0(BM_R0) 0000 00100

Destinations in R0 (IN_R0)

0000 00100

Fig. 7. Bit vectors at node 4 assuming the destinations are 0 and 2.

eme in support of multiple applications running on irregular sub-

Page 6: Microprocessors and Microsystems - University of … Fig. 1. Sub-network ... 152 age packet latency by 50% and saves the router and link power by 153 25% compared with VCTM. ... connectivity

359

360

361

362

363

364

365

366

367

368

369

370

371

372

373

374

375

376

377

378

379

380

381

382

383

384

385

386

387

388

389

390

391

392

393

394

395

396

397

398

399

400

401

402

403

404

405

406

407

6 X. Wang et al. / Microprocessors and Microsystems xxx (2010) xxx–xxx

MICPRO 1836 No. of Pages 11, Model 5G

19 August 2010

convex which ensures that there exists at least a minimal path in-side a sub-network for any pair of nodes. It is clear that for eachdestination in regions 1, 3, 5, 7, there is only one minimal pathto that destination. Hence, there is no alternative output directionfor destinations in those regions. Thus, only the alterative outputdirections for regions 0, 2, 4, 6 are found.

Fig. 8 lists the AL + RPM algorithm. As in RPM, replication ismade as late as possible to reduce the number of replicated pack-ets. As shown in Fig. 8, if there are destinations in both R0 and R2,instead of replicating packets to West and East, the packet will beforwarded to North first and replicated later. Similar treatment isapplied to destinations belonging to other similar region combina-tions. By this way, the resulted total number of hop counts for amulticast communication is minimized.

Fig. 9 shows an example of finding the routing path usingAL + RPM for a multicast communication from s to destinationsd1, d2, and d3. In step 1, node s replicates two packets to nodes 3and 6 as its extended connectivity bits ECE and ECN are 1. In step2, instead of replicating packets to East and West, node 3 forwardsthe packet to node 1. Node 6 forwards the packet to node 7 as itsECE is 0 as the alternative output for destination d3 is South. In step3, node 1 replicates two packets to nodes d1 and d2. Node 7 also for-wards the packet to d3. In the last step, nodes d1, d2 and d3 consumethe packets as they are the destinations.

The above AL + RPM is not deadlock free. In order to avoid dead-locks, virtual channels are used. As stated in [13] and [6], two vir-

//Alternative RPM for irregular sub-networks //Temporary bit vectors: N_DestSet, E_DestSet, W_DestSet S_Deif (IN_R0 ) begin // if IN_R0 is non-zero, i.e., there is destinatio

if (ECN == 1) N_DestSet= IN_R0 OR N_DestSetelse E_DestSet= IN_R0 OR E_DestSet

endif (IN_R1) N_DestSet= IN_R1 OR N_DestSet

if (IN_R2) begin if ( !IN_R3 &&( IN_R1|| IN_R0)) begin // if there are destinatio

if (ECN == 1) N_DestSet= IN_R2 OR N_DestSetelse W_DestSet= IN_R2 OR W_DestSet

endelse begin

if (ECW == 1) W_DestSet= IN_R2 OR W_DestSetelse N_DestSet= IN_R2 OR N_DestSet

endend

if (IN_R3) W_DestSet= IN_R3 OR W_DestSet

if (IN_R4) beginif ( ! IN_R5 && IN_R3) begin // if there are destinations in R4 a

if (ECW == 1) W_DestSet= IN_R4 OR W_DestSetelse S_DestSet= IN_R4 OR S_DestSet

endelse begin

if( ECS == 1) S_DestSet= IN_R4 OR S_DestSetelse W_DestSet= IN_R4 OR W_DestSet

endend

if (IN_R5) S_DestSet= IN_R5 OR S_DestSet

if (IN_R6) beginif( ! IN_R7 && (IN_R4 || IN_R5) ) begin // if there are destinat

if (ECS == 1) S_DestSet= IN_R6 OR S_DestSet else E_DestSet= IN_R6 OR E_DestSet

endelse begin

if (ECE == 1) E_DestSet= IN_R6 OR E_DestSetelse S_DestSet= IN_R6 OR S_DestSet

endend

if (IN_R7) E_DestSet= IN_R7 OR E_DestSet

Fig. 8. Pseudocode of AL + RPM m

Please cite this article in press as: X. Wang et al., On an efficient NoC multicasnetworks, Microprocess. Microsyst. (2010), doi:10.1016/j.micpro.2010.08.003

tual networks can be used to avoid deadlocks for mesh-basednetworks. For AL + RPM, two virtual networks are used, VN0 andVN1. VN0 does not allow packets to turn to NORTH while VN1 doesnot allow packets to turn to SOUTH. The virtual network to be usedis decided for each packet at the source router and cannot be chan-ged at the intermediate routers.

4.3. Hardware cost

To estimate the area overhead of AL + RPM, the router for 8 � 8network are synthesized using the Synopses Physical Compilerwith TSMC 90 nm library. For 8 � 8 network, 64 bits are neededfor representing the destinations. Up to eight overlapped sub-net-works are supported, thus, eight connectivity bits are used for eachdirection. Fig. 10a shows the structure of the routing unit which in-cludes three sub-modules, the DI sub-module, MR sub-module(AL + RPM), and the EC bits generation sub-module. Fig. 10b showsthe circuit of the MR sub-module.

Fig. 11 shows the power, area cost, and delay comparison of thebaseline router and the router implementing AL + RPM. The base-line router supports the multicast XY routing algorithm [19] whichuses the three types of bit vectors for four directions. As shown inthe table, the area overhead of AL + RPM over the baseline router is5.5%. It is estimated that the percentage of the overhead tends to bestable when the network size grows.

stSet are initially set to be zero. n in R0

ns in R2 and R1 or R2 and R0, go north first, replicate later

nd R3, go west first, replicate later

ions in R6 and R4 or R6 and R5, go south first, replicate later

ulticast routing algorithm.

ting scheme in support of multiple applications running on irregular sub-

Page 7: Microprocessors and Microsystems - University of … Fig. 1. Sub-network ... 152 age packet latency by 50% and saves the router and link power by 153 25% compared with VCTM. ... connectivity

408

409

410

411

412

413

414

415

416

417

418

419

420

421

422

423

424

425

426

427

428

429

430

431

432

433

434

435

436

437

438

439

440

441

442

443

444

445

446

447

448

449

450

451

452

453

454

455

456

457

Step 1 Step 2 Step 3 Final routing paths

Step 4

43

1

2

43

1

2

43

1

2

43

1

2

43

1

2

43

1

2

43

1

2

43

1

2

5

s 6

7

5

s 6

7

5

s 6

7

5

s 6

7

5

s 6

75

s 6

7

5

s 6

7

5

s 6

7

43

1

2

5

s 6

7

d2d1

d3

d2d1

d3

d2d1

d3

d2d1

d3

d2d1

d3

d2d1

d3

d2d1

d3

d2d1

d3

d2d1

d3

Fig. 9. Examples showing the routing steps of AL + RPM.

X. Wang et al. / Microprocessors and Microsystems xxx (2010) xxx–xxx 7

MICPRO 1836 No. of Pages 11, Model 5G

19 August 2010

5. Performance evaluation

5.1. Experiment settings

To evaluate the performance of the AL + RPM multicast routingalgorithm, AL + RPM is simulated under traces from real applica-tions and random traffic. The performance of AL + RPM in termsof power consumption (as defined in Section 3.1) and network la-tency is compared against bLBDR and multiple unicast. These mul-ticast algorithms are implemented on the cycle accurate simulatorNoxim [25]. The power parameters are based on the synthesis re-sults using Synopses Physical compiler with TSMC 90 nm library.

The RSIM [23] full system simulator running SPLASH bench-mark set [24] is used to obtain the traffic traces of real applications.For random traffic, the unicast traffic has uniform distribution ofthe destinations, and the multicast traffic is generated randomlywith the average destination set size 8.

Note that the multiple unicast (shown as multiple UC in all fig-ures) routing is modified here to support irregular sub-networks.The basic algorithm is based on XY routing. If the default outputdirection is not available, the output direction on the other dimen-sion is chosen.

458

459

460

461

462

463

464

465

466

467

468

469

470

471

5.2. Real applications

The communication traces of benchmarks brnes, moldyn, radix,raytrace, tomcatv, and ocean from SPLASH are extracted for ourevaluation using RSIM. Based on the traffic analysis of the tracefiles, five synthetic traffics are generated which have the sameinjection rate, destination addresses and multicast to unicast ratioas the original trace files of the five applications. These five appli-cations are mapped to the sub-networks as in Fig. 12. Each tileimplements a packet generator and a packet receiver.

Fig. 13 shows the power consumption and packet latency re-sults of AL + RPM, and bLBDR under multi-application benchmark.

Please cite this article in press as: X. Wang et al., On an efficient NoC multicasnetworks, Microprocess. Microsyst. (2010), doi:10.1016/j.micpro.2010.08.003

The power consumption is normalized over the minimum powerconsumption of the two algorithms. The average packet latencyis obtained by averaging the network latency of all received pack-ets. The power consumption of bLBDR is about 30% higher thanthat of AL + RPM.

5.3. Random benchmarks with uniform traffic

Five random benchmarks are generated and mapped on 8 � 8mesh-based CMP as in Fig. 14. The average number of destinationsis set to 8. Figs. 15 and 16 show the results of AL + RPM, bLBDR, andmulticast UC with the traffic ratio (MUR) of multicast (MC) to uni-cast (UC) traffic ranging in {0.05:1, 0.2:1, 0.25:1, 0.3:1}. MUR rang-ing from 0.2:1 to 0.3:1 reflects real application traffic scale. MUR of0.05:1 is used to evaluate the efficiency of AL + RPM with multipleunicast.

As shown Fig. 15a, when MUR is small, AL + RPM and bLBDRboth have lower power consumption than multiple unicast. For lar-ger MUR, AL + RPM outperforms broadcast-based bLBDR and mul-tiple unicast significantly (Fig. 15b–d). As the injection rateincreases, the power consumption of Multiple unicast and bLBDRincreases much faster than that of AL + RPM. Multiple unicast hasthe largest power consumption. For instance, when MUR is 0.3:1(Fig. 15d), AL + RPM saves 29% power consumption than that ofMultiple unicast. On average (averaging over Fig. 15), AL + RPMsaves 20% power consumption than that of Multiple unicast. Thereason is simply due to the fact that AL + RPM saves a large numberof replicated packets compared with multicast unicast, which pro-duces the number of replicated packets as much as the number ofdestinations.

AL + RPM also achieve lower power consumption than bLBDR.For example, when MUR is 0.3:1, AL + RPM saves 18% power con-sumption than that of bLBDR. On average, AL + RPM saves 14%power consumption than that of bLBDR. The reason is that com-pared with the broadcast-based bLBDR, AL + RPM saves the num-

ting scheme in support of multiple applications running on irregular sub-

Page 8: Microprocessors and Microsystems - University of … Fig. 1. Sub-network ... 152 age packet latency by 50% and saves the router and link power by 153 25% compared with VCTM. ... connectivity

472

473

474

475

Destination inclusion (DI)

Multicast routing (MR)

Extended connectivity bits

selection

IN_R0,...IN_R7

ECN,...ECEN_DestSet

…,E_DestSetD

CN[0],…,CN[8]

CE[0],…,CE[8]

...

Routing unit

...IN_R0[63]

...IN_R0[0]

ECN

MU

XM

UX

MU

X

...IN_R1[63]...IN_R1[0]

...IN_R2[63]

...IN_R2[0]

MU

XM

UX

MU

XM

UX

ECW

MU

X

...IN_R4[63]

...IN_R4[0]

MU

XM

UX

MU

XM

UX

...IN_R5[63]

...IN_R5[0]

ECS

MU

X

...IN_R6[63]

...IN_R6[0]

MU

XM

UX

MU

XM

UX

...IN_R7[63]

...IN_R7[0]

ECE

IN_R0

0

IN_R0

0

0

1

0

1

IN_R1

0 0

1

IN_R2

0 0

1

IN_R2

0 0

1

IN_R2

0 0

1

IN_R2

0 0

1

IN_R3

0 0

1

IN_R4

0 0

1

IN_R4

0 0

1

IN_R4

0 0

1

IN_R4

0 0

1

IN_R5

0 0

1

IN_R6

0 0

1

IN_R6

0 0

1

IN_R6

0 0

1

IN_R6

0 0

1

MU

X

IN_R7

0 0

1

N_DestSet E_DestSetW_DestSet S_DestSet

(a)

(b)

Fig. 10. (a) Structure of the routing unit. (b) The circuit of MR sub-module, assuming the network is 8 � 8 size.

8 X. Wang et al. / Microprocessors and Microsystems xxx (2010) xxx–xxx

MICPRO 1836 No. of Pages 11, Model 5G

19 August 2010

ber of replicated packets significantly, which lowers the powerconsumption.

Please cite this article in press as: X. Wang et al., On an efficient NoC multicasnetworks, Microprocess. Microsyst. (2010), doi:10.1016/j.micpro.2010.08.003

In terms of average packet latency, when MUR is low (Fig. 16a),the three multicast algorithms do not show much difference when

ting scheme in support of multiple applications running on irregular sub-

Page 9: Microprocessors and Microsystems - University of … Fig. 1. Sub-network ... 152 age packet latency by 50% and saves the router and link power by 153 25% compared with VCTM. ... connectivity

476

477

478

479

480

481

482

483

484

485

486

487

488

489

490

491

492

493

494

495

496

497

498

499

500

501

502

503

504

505

506

507

AL+RPM Baseline

Power (mW)

Delay (nm)

Area (um2)

8.84 8.68

215447 204246

2.43 2.40

Fig. 11. Power, area cost and delay comparison of baseline router and the routerimplementing AL + RPM.

32 33 34 35 36 37 38 39

40 41 42 43 44 45 46 47

48 49 50 51 52 53 54 55

56 57 58 59 60 61 62 63

0 1 2 3 4 5 6 7

8 9 10 11 12 13 14 15

16 17 18 19 20 21 22 23

24 25 26 27 28 29 30 31

barnes

moldynocean

radix

raytrace

Fig. 12. The multi-application benchmark mapping on 8 � 8 mesh-based CMP.

32 33 35 36 37 38 39

40 41 42 43 44 45 46 47

48 49 50 51 52 53 54 55

56 57 58 59 60 61 62 63

0 1 2 3 4 5 6 7

8 9 10 11 12 13 14 15

16 17 18 19 20 21 22 23

24 25 26 27 28 29 30 31

b0 b1

b2

b3 b4

34

Fig. 14. The random benchmark mapping on 8 � 8 mesh-based CMP.

X. Wang et al. / Microprocessors and Microsystems xxx (2010) xxx–xxx 9

MICPRO 1836 No. of Pages 11, Model 5G

19 August 2010

injection rate is low. However, when the injection rate increases,the average packet latency of multiple unicast increases dramati-cally. When MUR is 0.2:1 and 0.25:1 (Fig. 16b and c), the latencyof AL + RPM increases much slower than that of bLBDR and Multi-ple unicast. The reason is that less packets are replicated usingAL + RPM, thus, thus, the network is less congested than usingbLBDR and Multiple unicast. The difference becomes more distinctwhen MUR is larger. When MUR is 0.3:1 and injection rate is high(e.g., near 0.15), the latency of AL + RPM is only 50% or less thanthat of bLBDR.

0.8

0.9

1

1.1

1.2

1.3

1.4

AL+RPM bLBDR

Nor

mal

ized

pow

er c

onsu

mpt

ion (b)(a)

Fig. 13. Normalized power consumption (a) an

Please cite this article in press as: X. Wang et al., On an efficient NoC multicasnetworks, Microprocess. Microsyst. (2010), doi:10.1016/j.micpro.2010.08.003

The experimental results confirm that AL + RPM achieve signif-icant improvement than multiple unicast and broadcast-based ap-proach in both power consumption and network performance.When MUR is high and traffic is heavy, AL + RPM is even superior.

6. Conclusion

In this paper, an irregular sub-network oriented multicast rout-ing strategy is proposed. The basic idea of this routing strategy isthat, if the output channel found by regular topology oriented mul-ticast routing is not available, choose an alternative output channelwhich also leads to the minimal path to the destination. As a mat-ter of fact, following this strategy, an irregular topology orientedmulticast routing algorithm can be designed based on any regularmesh based multicast routing algorithm. One such algorithm,AL + RPM is proposed to support multicasting for irregular sub-net-works based on RPM which only supports multicasting for regularmesh topology. Experimental results of the algorithm under tracesfrom real benchmarks and synthetic benchmarks confirm thatAL + RPM significantly improve the power consumption and net-work performance compared with multiple unicast and broad-cast-based bLBDR, the AL + RPM multicast routing approachsupporting irregular sub-networks. The area overhead of AL + RPMhas shown to be quite modest.

12.6

12.8

13

13.2

13.4

13.6

13.8

14

14.2

AL+RPM bLBDR

Ave

rage

pac

ket l

aten

cy (

cycl

es)

d latency, and (b) of AL + RPM and bLBDR.

ting scheme in support of multiple applications running on irregular sub-

Page 10: Microprocessors and Microsystems - University of … Fig. 1. Sub-network ... 152 age packet latency by 50% and saves the router and link power by 153 25% compared with VCTM. ... connectivity

0

0.5

1

1.5

2

2.5

0.01 0.03 0.05 0.07 0.09 0.11 0.13 0.15 0.2 0.4

0.01 0.03 0.05 0.07 0.09 0.11 0.13 0.15 0.2 0.40.01 0.03 0.05 0.07 0.09 0.11 0.13 0.15 0.2 0.4

0.01 0.03 0.05 0.07 0.09 0.11 0.13 0.15 0.2 0.4Injection rate((flit /core/cycle)

Nor

mal

ized

pow

er c

onsu

mpt

ion

AL+RPM

bLBDR

Multiple UC0

0.5

1

1.5

2

2.5

Injection rate((flit /core/cycle)

Nor

mal

ized

pow

er c

onsu

mpt

ion

AL+RPM

bLBDR

Multiple UC

0

0.5

1

1.5

2

2.5

Injection rate((flit /core/cycle)

Nor

mal

ized

pow

er c

onsu

mpt

ion

AL+RPM

bLBDR

Multiple UC0

0.5

1

1.5

2

2.5

Injection rate((flit /core/cycle)

Nor

mal

ized

pow

er c

onsu

mpt

ion

AL+RPM

bLBDR

Multiple UC

(b)(a)

(c) (d)

Fig. 15. Normalized power consumption results with MUR set to (a) 0.05:1, (b) 0.2:1, (c) 0.25:1 and (d) 0.3:1.

0

10

20

30

40

50

60

70

80

90

100

Injection rate(flit/core/cycle)

Ave

rage

pac

ket l

aten

cy(c

ycle

s)

AL+RPM

bLBDR

Multiple UC

0

10

20

30

40

50

60

70

80

90

100

Injection rate(flit/core/cycle)

Ave

rage

pac

ket l

aten

cy(c

ycle

s)

AL+RPM

bLBDR

Multiple UC

0

10

20

30

40

50

60

70

80

90

100

0.01 0.03 0.05 0.07 0.09 0.11 0.13 0.15 0.2 0.4 0.01 0.03 0.05 0.07 0.09 0.11 0.13 0.15 0.2 0.4

0.01 0.03 0.05 0.07 0.09 0.11 0.13 0.15 0.2 0.40.01 0.03 0.05 0.07 0.09 0.11 0.13 0.15 0.2 0.4

injection rate(flit/core/cycle)

Ave

rage

pac

ket l

aten

cy(c

ycle

s)

AL+RPM

bLBDR

Multiple UC

0

10

20

30

40

50

60

70

80

90

100

Injection rate(flit/core/cycle)

Ave

rage

pac

ket l

aten

cy(c

ycle

s)

AL+RPM

bLBDR

Multiple UC

(b)(a)

(b)(c)

Fig. 16. Latency results with MUR set to (a) 0.05:1, (b) 0.2:1, (c) 0.25:1 and (d) 0.3:1.

10 X. Wang et al. / Microprocessors and Microsystems xxx (2010) xxx–xxx

MICPRO 1836 No. of Pages 11, Model 5G

19 August 2010

Please cite this article in press as: X. Wang et al., On an efficient NoC multicasting scheme in support of multiple applications running on irregular sub-networks, Microprocess. Microsyst. (2010), doi:10.1016/j.micpro.2010.08.003

Page 11: Microprocessors and Microsystems - University of … Fig. 1. Sub-network ... 152 age packet latency by 50% and saves the router and link power by 153 25% compared with VCTM. ... connectivity

508

509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573

574

576576

577578579580581582583584

586586

587588589590591592593594

596596

597598599600601602603604605

607607

608609610611612613614615616617618619620

X. Wang et al. / Microprocessors and Microsystems xxx (2010) xxx–xxx 11

MICPRO 1836 No. of Pages 11, Model 5G

19 August 2010

References

[1] S. Borkar, Thousand core chips: a technology perspective, in: Proc. 44th DesignAutomation Conf., ACM, 2007, pp. 746–749.

[2] J.L. Manferdelli, N.K. Govindaraju, C. Crall, Challenges and opportunities inmany-core computing, Proc IEEE 96 (2008) 808.

[3] J. Held, J. Bautista, S. Koehl, From a few cores to many: a tera-scale computingresearch review, Intel Research White Paper, 2006.

[4] Y. Hoskote, S. Vangal, A. Singh, N. Borkar, S. Borkar, A 5-GHz mesh interconnectfor a teraflops processor, IEEE Micro 27 (2007) 51–61.

[5] D. Wentzlaff, P. Griffin, H. Hoffmann, L. Bao, B. Edwards, C. Ramey, M. Mattina,C.C. Miao, J.F. Brown, A. Agarwal, On-chip interconnection architecture of thetile processor, IEEE Micro 27 (2007) 15–31.

[6] L. Wang, Y. Jin, H. Kim, E.J. Kim, Recursive partitioning multicast: a bandwidth-efficient routing for on-chip, in: Proc. 3rd ACM/IEEE Int’l Symp. Networks-on-Chip, 2009.

[7] N.E. Jerger, L.S. Peh, M. Lipasti, Virtual circuit tree multicasting: a case for on-chip hardware multicast support, in: Proc. 35th Int’l Symp. ComputerArchitecture, 2008, pp. 229–240.

[8] S. Rodrigo, J. Flich, J. Duato, Efficient unicast and multicast support for CMPs,in: Proc. 41st IEEE/ACM Int’l Symp. Microarchitecture, 2008, pp. 364–375.

[9] A. Gavrilovska, S. Kumar, H. Raj, K. Schwan, V. Gupta, R. Nathuji, R. Niranjan, A.Ranadive, P. Saraiya, High-performance hypervisor architectures:virtualization in hpc systems, in: 1st Workshop on System-levelVirtualization for High Performance Computing, 2007.

[10] S. Murali, Designing Reliable and Efficient Networks on Chips, Springer Verlag,2009.

[11] M.B. Taylor, J. Kim, J. Miller, D. Wentzlaff, F. Ghodrat, B. Greenwald, H.Hoffman, P. Johnson, J.W. Lee, W. Lee, The Raw microprocessor: acomputational fabric for software circuits and general-purpose programs,IEEE Micro 111 (2002) 25–35.

[12] C.L. Chou, R. Marculescu, User-aware dynamic task allocation in networks-on-chip, in: Proc. Conf. Design, Automation and Test in Europe, ACM, 2008, pp.1232–1237.

[13] J. Duato, S. Yalamanchili, L. Ni, Interconnection Networks an EngineeringApproach, Morgan Kaufmann, 2002.

[14] M. Palesi, R. Holsmark, S. Kumar, V. Catania, Application specific routingalgorithms for networks on chip, IEEE Trans. Parallel Distribut. Syst. 20 (2009)316–330.

[15] Z. Lu, B. Yin, A. Jantsch, Connection-oriented multicasting in wormhole-switched networks on chip, in: Proc. Emerging VLSI Technologies andArchitectures, 2006.

[16] I.V. Senin, L. Mhamdi, K. Goossens, Efficient multicast support in bufferedcrossbars using networks on chip, in: Proc. Global TelecommunicationsConference, 2009.

[17] P. Abad, V. Puente, J.A. Gregorio, MRR: enabling fully adaptive multicastrouting for CMP interconnection networks, in: Proc. 15th Int’l Conf High-Performance Computer Architecture, 2009, pp. 355–366.

[18] F.A. Samman, T. Hollstein, M. Glesner, Planar adaptive routermicroarchitecture for tree-based multicast network-on-chip, in: Proc. Int’lWorkshop on Network on Chip Architectures, 2008, pp. 6.

[19] C. Xiang, Design and verification of Network on chip components, Dept.Information Science and Electronic Engineering Zhejiang University,Hangzhou, 2008 (in Chinese).

[20] V. Varavithya, P. Mohapatra, Asynchronous tree-based multicasting inwormhole-switched MINs, IEEE Trans Parallel Distribut. Syst. 10 (1999)1159–1178.

[21] J. Hu, R. Marculescu, Energy-and performance-aware mapping for regular NoCarchitectures, IEEE Trans. Comput. Aid. Des. Int. Circ. Syst. 24 (2005) 551–562.

[22] C.L. Chou, U.Y. Ogras, R. Marculescu, Energy-and performance-awareincremental mapping for networks on chip with multiple voltage levels, IEEETrans. Comput. Aid. Des. Int. Circ. Syst. 27 (2008) 1866–1879.

[23] R. Fernandez, J.M. Garc a, RSIM x86: a cost-effective performance simulator, in:Proc. High Performance Computing & Simulation Conf., 2005, pp. 774–779.

[24] J.P. Singh, W.D. Weber, A. Gupta, SPLASH: stanford parallel applications forshared-memory. ACM SIGARCH Computer Architecture News, 1992.

[25] Noxim. <http://sourceforge.net/projects/noxim>.

Please cite this article in press as: X. Wang et al., On an efficient NoC multicasnetworks, Microprocess. Microsyst. (2010), doi:10.1016/j.micpro.2010.08.003

Xiaohang Wang received the B.Eng. degree in com-munication and electronic engineering from ZhejiangUniversity, China, in 2006. He is currently pursing thePh.D. degree in communication and electronic engi-neering at Zhejiang University, China. His researchinterests include compiler, parallel programming mod-els, core-based digital SoC and NoC design and test.

Dr. Mei Yang received her Ph.D. in Computer Sciencefrom the University of Texas at Dallas in Aug. 2003. Shehas been an assistant professor in the Department ofElectrical and Computer Engineering, University ofNevada, Las Vegas since Aug. 2004. Her research inter-ests include computer architectures, networking, andembedded systems.

Dr. Yingtao Jiang received his Ph.D. in Computer Sci-ence from the University of Texas at Dallas in Aug. 2001.He joined the Department of Electrical and ComputerEngineering, University of Nevada, Las Vegas in Aug.2001. He has been an associate professor since Aug.2007. His research interests include algorithms, com-puter architectures, VLSI, networking, nano technolo-gies, etc.

Peng Liu received the B. Eng. and M. Eng. degrees inoptical engineering from Zhejiang University, in 1992,and 1996, respectively, and the Ph.D. degree in com-munication and electronic engineering from ZhejiangUniversity, China, in 1999. He has been an AssociateProfessor with the Information Science and ElectronicEngineering Department, Zhejiang University, Hangz-hou, China, since 2002. His research focuses embeddedprocessor, multiprocessor systems-on-chip architec-tures, on-chip interconnection networks, real-timeoperating system, compiler, and circuits for communi-cations.

ting scheme in support of multiple applications running on irregular sub-