icc_pl_olh

Embed Size (px)

Citation preview

  • 7/27/2019 icc_pl_olh

    1/405

    Physical Layout Users Guide(Classic)

    Release IC Flow 2008.2

    1990-2008 Mentor Graphics Corporation

    All rights reserved.

    This document contains information that is proprietary to Mentor Graphics Corporation. The original recipient of this

    document may duplicate this document in whole or in part for internal business purposes only, provided that this entire

    notice appears in all copies. In duplicating any part of this document, the recipient agrees to make every reasonable

    effort to prevent the unauthorized use and distribution of the proprietary information.

  • 7/27/2019 icc_pl_olh

    2/405

    This document is for information and instruction purposes. Mentor Graphics reserves the right to make

    changes in specifications and other information contained in this publication without prior notice, and thereader should, in all cases, consult Mentor Graphics to determine whether any changes have been

    made.

    The terms and conditions governing the sale and licensing of Mentor Graphics products are set forth in

    written agreements between Mentor Graphics and its customers. No representation or other affirmationof fact contained in this publication shall be deemed to be a warranty or give rise to any liability of Mentor

    Graphics whatsoever.

    MENTOR GRAPHICS MAKES NO WARRANTY OF ANY KIND WITH REGARD TO THIS MATERIAL

    INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY ANDFITNESS FOR A PARTICULAR PURPOSE.

    MENTOR GRAPHICS SHALL NOT BE LIABLE FOR ANY INCIDENTAL, INDIRECT, SPECIAL, ORCONSEQUENTIAL DAMAGES WHATSOEVER (INCLUDING BUT NOT LIMITED TO LOST PROFITS)

    ARISING OUT OF OR RELATED TO THIS PUBLICATION OR THE INFORMATION CONTAINED IN IT,EVEN IF MENTOR GRAPHICS CORPORATION HAS BEEN ADVISED OF THE POSSIBILITY OF

    SUCH DAMAGES.

    RESTRICTED RIGHTS LEGEND 03/97

    U.S. Government Restricted Rights. The SOFTWARE and documentation have been developed entirelyat private expense and are commercial computer software provided with restricted rights. Use,

    duplication or disclosure by the U.S. Government or a U.S. Government subcontractor is subject to therestrictions set forth in the license agreement provided with the software pursuant to DFARS 227.7202-3(a) or as set forth in subparagraph (c)(1) and (2) of the Commercial Computer Software - Restricted

    Rights clause at FAR 52.227-19, as applicable.

    Contractor/manufacturer is:

    Mentor Graphics Corporation8005 S.W. Boeckman Road, Wilsonville, Oregon 97070-7777.

    Telephone: 503.685.7000

    Toll-Free Telephone: 800.592.2210

    Website: www.mentor.com

    SupportNet:www.mentor.com/supportnet

    Send Feedback on Documentation: www.mentor.com/supportnet/documentation/reply_form.cfm

    TRADEMARKS: The trademarks, logos and service marks ("Marks") used herein are the property ofMentor Graphics Corporation or other third parties. No one is permitted to use these Marks without the

    prior written consent of Mentor Graphics or the respective third-party owner. The use herein of a third-

    party Mark is not an attempt to indicate Mentor Graphics as a source of a product, but is intended toindicate a product from, or associated with, a particular third party. A current list of Mentor Graphicstrademarks may be viewed at: www.mentor.com/terms_conditions/trademarks.cfm.

    http://www.mentor.com/http://www.mentor.com/supportnethttp://www.mentor.com/supportnet/documentation/reply_form.cfmhttp://www.mentor.com/terms_conditions/trademarks.cfmhttp://www.mentor.com/terms_conditions/trademarks.cfmhttp://www.mentor.com/supportnet/documentation/reply_form.cfmhttp://www.mentor.com/supportnethttp://www.mentor.com/
  • 7/27/2019 icc_pl_olh

    3/405

    Physical Layout Users Guide, 2008.2 3

    Table of Contents

    Chapter 1IC Flow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

    Chapter 2Physical Layout Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

    Physical Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15Leaf Cell Creation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

    Creating a Leaf Cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16Adding/Editing Layout Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17Adding/Editing Devices Interactively. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17Compacting the Cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

    Hierarchical Cell Creation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19Generating a Cell Layout View from a Schematic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19Generating a Cell Layout View from a GDSII Stream File . . . . . . . . . . . . . . . . . . . . . . . . 21Generating a Cell Layout View from a Spice Netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21Generating a Cell Layout View from a Verilog Netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . 22Generating a Cell Layout View from a DEF File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23Generating a Cell Layout View from a ViewDraw Design . . . . . . . . . . . . . . . . . . . . . . . . 23Routing the Cell Interactively. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24Modify Layout Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25Updating a Cell Layout from a Changed Schematic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25Updating a Cell Layout View from a Changed GDSII Stream File . . . . . . . . . . . . . . . . . . 26Updating a Cell Layout from a Changed Spice Netlist. . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

    Updating a Cell Layout from a Changed Verilog Netlist . . . . . . . . . . . . . . . . . . . . . . . . . . 27Updating a Cell Layout from a Changed DEF File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28Updating a Cell Layout from a Changed ViewDraw Design . . . . . . . . . . . . . . . . . . . . . . . 29

    Chip Assembly. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29Creating the Top-level Cell. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30Placing Top-Level Blocks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31Editing the Floorplan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32Routing Blocks by Area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32Implementing Physical Layout in the Block Extent . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33Taping Out the Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34IC Station Classic in ICstudio Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

    Chapter 3IC Station Set up and Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

    Layers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37Changing Selection, Visibility, and Fill of Layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37Creating Custom Colors for Layers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39Using the Select Filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40Edit Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

    http://ic_pl_olh.pdf/
  • 7/27/2019 icc_pl_olh

    4/405

    Table of Contents

    4 Physical Layout Users Guide, 2008.2

    Hotkeys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43Loading a Process File. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45Number Format and Units of Measurement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

    Chapter 4Layout Objects and Editing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

    Layout Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49Arrays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50Making Arrays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50Multiple Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51Adding Multiple Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51Paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52Adding Paths. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53Changing Paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54Adding Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55Changing Property Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

    Deleting Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56Property Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57Adding Property Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57Changing Property Text Attributes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58Enabling Structured Property Editing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59Rulers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59Adding Rulers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60Removing Rulers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60Shapes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61Adding Shapes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61Changing Shape Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62Shape Abutment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

    Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64Adding Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64Changing Text Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65Vias . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65Via Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66Adding Via Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67Changing Via Object Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

    Layout Object Editing Commands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68Aligning Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69Change Object Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70Compose Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70Copy Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72Copying One Object . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72Copying Objects Relative to the Basepoint . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73Copying Through the Clipboard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74Copying to Another Layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74Cutting Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75Cutting/Stretching Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76Deleting Selected Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

  • 7/27/2019 icc_pl_olh

    5/405

    Table of Contents

    Physical Layout Users Guide, 2008.2 5

    Deleting Objects From an Area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77Filleted Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78Filleting a Single Corner. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78Filleting Multiple Corners . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79Flattening Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80Flipping Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80Merging Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81Modifying the Centerline . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82Extending the Centerline of a Path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83Changing the Direction of a Path Centerline . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83Moving Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84Moving an Object Without Selecting It . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85Moving Unconstrained . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85Moving Relative to the Basepoint. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86Moving Objects while Conforming to DRC Rules. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86Moving Objects as Close as Possible . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87Moving Edges. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88

    Editing Edges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89Moving a Single Vertex . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90Notching Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91Notching Objects In . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92Notching Objects Out . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93Pasting Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94Resizing Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94Object Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95Rotating Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96Slicing Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96Slicing With a Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98Slice a Path With a Polygon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99

    Slice a Shape With a Polygon. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99Sliding Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100Snapping Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100Stretching Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101Stretching with a Polygon. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102Stretching an Edge or Vertex . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

    Other Object Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103Grouping Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103Creating a Group. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105Creating a New Group from a Subset of an Existing Group . . . . . . . . . . . . . . . . . . . . . . . 106Select Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107Selecting Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

    Protecting Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108Protecting Objects From Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109Unprotecting Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109Highlighting Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110Unhighlighting Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111Composing Selected Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112Composing Layer Geometries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113Object Handles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113

  • 7/27/2019 icc_pl_olh

    6/405

    Table of Contents

    6 Physical Layout Users Guide, 2008.2

    Object Templates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114Changing Object Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

    Chapter 5Cells and Devices. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

    Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117Cell Hierarchy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118Cell Objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120Cell Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121Fixed Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122Via Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122Adding Via Cells. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123Changing Via Cell Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124Creating Via Cells. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124

    Editing Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125Aspect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125Setting an Objects Aspect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125

    Active Context . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126Setting Active Context to a Sub-Cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126Setting Active Context to a Parent Cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127Setting Active Context to a Sibling Cell. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128Adding Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128Create Cells (Create Layout Library) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129Creating Cells Without Connectivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129Creating Cells With Connectivity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130Flattening Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131Making Cells. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131Opening Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132Peeking/Unpeeking Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133

    Peeking a Cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133Peeking a Cell by Area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134Unpeeking a Cell. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134Unpeeking Cells by Area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135Setting/Unsetting Peek Protect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136Replacing Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137Reserving Cells for Editing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137Reserve/Unreserve Current Context . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138Reserve/Unreserve By Name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 138Reserve/Unreserve Hierarchy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139Resyncing Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140Resyncing Cells by Name. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141Saving Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141Saving Cells by Name. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142Scaling Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142Setting a Cell's Origin. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143Unloading Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143Updating Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144Updating Cells By Name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145

  • 7/27/2019 icc_pl_olh

    7/405

    Table of Contents

    Physical Layout Users Guide, 2008.2 7

    Devices. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146Regenerate Devices. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147Changing Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147Editing Device Objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147

    Device Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148MOS Transistor Devices. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148Adding MOS Transistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148Editing MOS Transistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149Resistor Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150Adding Point-Based Resistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150Adding Path-Based Resistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151Editing Resistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151Capacitor Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152Adding Point-Based Capacitors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153Adding Shape-Based Capacitors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153Editing a Capacitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154Via Devices. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

    Adding Shape-Based Via Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156Changing Shape-Based Via Device Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156Adding Point-Based Via Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157Changing Point-Based Via Device Attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158Guard Band Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159Adding Path-Based Guard Bands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159Adding Shape-Based Guard Bands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160Editing Guard Bands. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161Inductor Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161Adding Inductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162Editing Inductors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162Diode Devices. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163

    Adding Diodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163Editing Diodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164

    Chapter 6Automated Layout and Data Translation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167

    Generate and Update a Cell Layout from a Logic Source. . . . . . . . . . . . . . . . . . . . . . . . . . . 167Schematic-Driven Layout (SDL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167Schematic Instance Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168Component Type Property . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168Component Subtype Property. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168Device-specific Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169SDL Filter Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169SDL Cell Creation On-the-Fly . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170Adding Properties to Schematic Instances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170Setting Up SDL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171

    Logic-Driven Layout (LDL) Cockpit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172ECO Repair Actions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175Logic-Driven Layout (LDL) Menu Items. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177Crossprobing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179

  • 7/27/2019 icc_pl_olh

    8/405

    Table of Contents

    8 Physical Layout Users Guide, 2008.2

    Chapter 7Routing and Connectivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181

    Routing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181Routing Interactively with IRoute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181Interactive Routing Additional Features. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182

    Target Completion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183Route Buses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183Route Multiple Paths with Transforms. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183Route Multiple Paths with Net Pairs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183Shield Nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183Push Other Routes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183Forty-Five-Degree Routing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184IRoute Hotkeys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184Routing Buses. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188Selecting Buses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 191Bus Routing With Vias Placed . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192Bus Routing with Via Pattern Set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192

    Bus Routing Vias to Target. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193Routing the Bus With a Bus Bend . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194Routing Blocks by Area with ARoute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195Apply Area Routing Performance Guidelines to Your Design . . . . . . . . . . . . . . . . . . . . . 196Pin Spacing Guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197Pin Layers and Preferred Routing Direction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198Pins on Non-Routing Layers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198Pin Stubbing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199Pin Blockage Layers and Shapes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199Setting Up ARoute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200Net Classes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200Routing TFT Designs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200

    Adding a Fan for TFT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201Adding a Bus for TFT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201Changing a Bus or Fan for TFT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202Flattening a Bus or a Fan for TFT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202Adding a Donut for TFT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203Checking Point-to-Point Resistance for TFT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203Verifying with TFT DRC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204Scanning the TFT DRC Errors Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205Delete Routing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205Message Cell Hierarchy Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206Select Fixed Routes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207Set Cell Hierarchy Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207Set Global Hierarchy Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208Set Instance Hierarchy Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209

    Connectivity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210Nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211Adding to a Net. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211Making a Net. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212Removing From a Net. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213

  • 7/27/2019 icc_pl_olh

    9/405

    Table of Contents

    Physical Layout Users Guide, 2008.2 9

    Restructuring Nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 214Adding Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215Adding to an Existing Port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216Displaying Port Names . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216Overflows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217Editing Configuration Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217

    Chapter 8Floorplanning and Chip Assembly . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219

    Floorplan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219Report Floorplan Area Estimates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219Edit the Floorplan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220Adding Rows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220Adding Vertical or Horizontal Row . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220Adding a Set of Rows by Area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221Flattening the Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222

    Repartitioning the Hierarchy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222Editing Block Extents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223

    Chapter 9Compaction and Verification. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225

    Compaction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225Compaction Concepts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225Compacting the Layout. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226

    ICshortchecker . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227Checking All Nets For Shorts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228Checking Selected Nets For Shorts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229Scanning Graphical Markers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229

    Verification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230Calibre DRC Verification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230Setting DRC Error Layers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231Checking for DRC Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232Viewing DRC Results. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233Selecting Calibre DRC Runsets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233Calibre Interactive. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234

    Chapter 10Device Generators. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235

    Understanding Device Generators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235

    Device Generator Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235Device Generator Operational Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236Best Practices for Creating Device Generators. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 237Connectivity in Generated Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240Structured Property Editing (SPE) and Device Generators . . . . . . . . . . . . . . . . . . . . . . . . 241

    Creating a Device Generator Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241Query Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242Parameter Processing Section. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243

  • 7/27/2019 icc_pl_olh

    10/405

    Table of Contents

    10 Physical Layout Users Guide, 2008.2

    Device Layout Construction Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243Creating a Parameter Function. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244Creating a Build Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244Creating a Form Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248Implementing SPE in Device Generators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248Supporting SPE Defined for a Schematic Symbol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250Additional AMPLE Function Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252

    SPE Pre-Processing $junvar Function Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252SPE Post-Processing $junvar Function Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252SPE Rules Defined in an NCF Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253SPE Pre-Processing $mosvar Function Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254SPE Post-Processing $mosvar Function Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255

    Chapter 11Printing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257

    Print with the IC Station HotPlot Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 257Printing A Sheet with HotPlot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258

    Printing a Symbol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259Printing/Exporting Sheets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260Printing With HotPlot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261Using Plot Manager . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262Using the Formatter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 263

    Chapter 12Dialog Box and Prompt Bar Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265

    Dialog Boxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265Set Device Parameters Using SPE Rules Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . 265Edit Properties Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 266Define MOS Folding Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267Define MOS Cell Type Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268Select Net Class Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268Power Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269SDL Cell Extent Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269Create Cell for SDL/LDL Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 270ARoute Options Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271Set Preferred Routing Direction Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 271Define Net Class Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 273Assign Nets to Classes Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274Edit Process Override Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 274Compactor Options Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275

    Create Cell Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280Setup Interactive Routing (IRoute) Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 281Setup IRoute > General. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282Setup IRoute > Pushing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 284Setup IRoute > Busses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285Setup IRoute > Display. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 286Set Active Port Styles Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 287Define MOS Sharing Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288

  • 7/27/2019 icc_pl_olh

    11/405

    Table of Contents

    Physical Layout Users Guide, 2008.2 11

    Setup SDL Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288Define Net Shielding Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 289Set Route Protection Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290Define Routing Transform Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291AutoCells Interactive Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292Setup ICassemble Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308Define Net Class Shield Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 310Advanced Net Class Spacing Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 311ARoute Rip Options Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 312Setup IC Dynamics Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313Align Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316Add Path Attributes Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 317Add Property Options Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319Add Multiple Text Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 319Change Property Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321Select Filter Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321Add Via Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 322

    Add Property Text Options Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 323Change Property Text Attributes Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 324Add Text Options Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 325Create Via Cell Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 326ICdevice Shape Via Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 326ICdevice Via Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327Add Shape Options Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 329Add Row by Area Options Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 330Set Block XY Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 332Add Row Options Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333Delete Property Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335Save Cell By Name Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335

    Add Cell Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 336Replace Cell Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 337Make Cell Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 337ICdevice Guard Band Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 338ICdevice Diode Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339ICdevice Inductor Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 340ICdevice Capacitor Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341MOS Parameters Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 343Create New View Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 344SDL Component Types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 348Add Text Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 348Edit Text Properties Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349

    TFT/LCD Fan Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349TFT/LCD Bus Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 351Define Runsets Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352Define Error Layers Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 352Scale/Rotate/Reflect Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353Data Attributes Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 354HotPlot Print Options Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357Layout Options Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 358

  • 7/27/2019 icc_pl_olh

    12/405

    Table of Contents

    12 Physical Layout Users Guide, 2008.2

    Print with HotPlot Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 360Formatter Only Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361Print/Export Sheet(s) With HotPlot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 363GDSII Options Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366Plot Manager Only Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 367Print Sheet with HotPlot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368Print Symbol with HotPlot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 371Minimize Vias. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 373Load Rules Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 373ARoute Advanced Options Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 375Set Layer Appearance Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 377Open Layout View Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 379Create New Layout View Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 379Setup IC Display. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380Filter Group Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 383Make Port Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 386Cell Type Dialog Box. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 387

    Set Bus Pitch Dialog Box . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 389Edit Polygon Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390Prompt Bars . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 392

    Compactor Prompt Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 392Minimize Levels Prompt Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393Check Shorts All Prompt Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393Compose Layer Geometries Prompt Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394Flatten Prompt Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395Update Cell By Name Prompt Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395Compose Layer Selections Prompt Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396Add Property Text Prompt Bar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396Add Property Prompt Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 397

    Index

    End-User License Agreement

  • 7/27/2019 icc_pl_olh

    13/405

    http://ic_pl_olh.pdf/http://ic_da_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_da_olh.pdf/http://ic_da_olh.pdf/http://ic_da_olh.pdf/http://ic_da_olh.pdf/http://ic_pl_olh.pdf/http://ic_da_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_da_olh.pdf/http://ic_da_olh.pdf/http://ic_da_olh.pdf/http://ic_da_olh.pdf/http://ic_da_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_da_olh.pdf/http://ic_da_olh.pdf/http://ic_da_olh.pdf/http://ic_da_olh.pdf/http://ic_da_olh.pdf/http://ic_admin_olh.pdf/http://ic_admin_olh.pdf/http://ic_admin_olh.pdf/
  • 7/27/2019 icc_pl_olh

    14/405

  • 7/27/2019 icc_pl_olh

    15/405

    Physical Layout Flow

    Physical Layout

    Physical Layout Users Guide, 2008.2 15

    Chapter 2Physical Layout Flow

    Physical LayoutPhysical layout is the method of converting a design from logical circuits to physicalrepresentations of those circuits. IC Station is the tool you use for physical layout, both in leafcell creation, hierarchical cell creation, and chip assembly.

    http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/
  • 7/27/2019 icc_pl_olh

    16/405

    Physical Layout Users Guide, 2008.216

    Physical Layout Flow

    Leaf Cell Creation

    Leaf Cell CreationA leaf cell is a cell without any hierarchy. Leaf cells contain the physical description of the chip,while the higher levels of hierarchy contain logical descriptions of the chips organization. Theonly part of the higher levels of hierarchy that are physical descriptions are the routes that

    connect the leaf cells.

    Leaf cell creation involves placing polygons that represent the mask layout manually, orrunning Schematic-Driven Layout (SDL) on schematic primitives, or both.

    Related Topics

    Creating a Leaf Cell

    Adding/Editing Layout Objects

    Adding/Editing Devices Interactively

    Compacting the Leaf Cell

    Creating a Leaf Cell

    Leaf cell creation involves manually placing polygons that represent the mask layout.

    Prerequisites

    The leaf cell's project must be openin ICstudio.

    The library in which the leaf cell will reside must exist.

    Task

    1. In ICstudio, select a library and then select the File > New > Viewmenu item. TheCreate New View dialog boxappears.

    2. Enter the cell name in the Cell Name field. If the cell does not exist, it is created.

    3. Specify the View Type as Layout.

    4. If desired, specify an alternate name for the layout view in the View Name field.

    5. Click Next. The Cell View portion of the dialog box appears.6. Specify the layout type as the desired cell type.

    7. Specify the Connectivity Source as None (Use Polygon Editing). At this level, you arestrictly doing polygon editing, and you have no logic source for connectivity-basedlayout generation.

    8. Click Finish. IC Station invokes with a new empty layout.

    http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/
  • 7/27/2019 icc_pl_olh

    17/405

    Physical Layout Flow

    Leaf Cell Creation

    Physical Layout Users Guide, 2008.2 17

    You are now ready to add layout objectsor add devicesto your cell.

    Related Topics

    Leaf Cell Creation

    Adding/Editing Layout Objects

    Adding and/or editing layout objects involves manually placing and editing layout objects thatrepresent the mask layout.

    Prerequisites

    If the cell does not exist, Create a Leaf Cell.

    If the cell is not open, open the cellwith Edit mode enabled.

    The cell must be in the active context. This is important if the cell you want to edit is asub-cell in a block.

    Task

    1. Add layout objectsto the cell.

    2. Editthe objects in the cell.

    3. Add devicesto the cell.

    4. Edit the devices.

    When your cell is complete, you are ready to compact the cell, or go directly to saving the cellwhere it is available to SDL.

    Adding/Editing Devices Interactively

    Devices are electronic components IC Station generates from parameters you specify whenadding or editing the device. The seven device types are transistors, resistors, capacitors, vias,guard bands, inductors, and diodes. In full custom layout, devices offer a short-cut so you do nothave to build each device type manually.

    Prerequisites

    Device generatorsfor the devices you want to add or edit must be defined in the cell'sprocess file.

    If the cell does not exist, Create a Leaf Cell.

    If the cell is not open, open the cellwith Edit mode enabled.

    http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/
  • 7/27/2019 icc_pl_olh

    18/405

    Physical Layout Users Guide, 2008.218

    Physical Layout Flow

    Leaf Cell Creation

    Task

    1. Add any of the following devices to the cell: MOS, Resistor, Capacitor, Guard Band,Inductor, Diode.

    2. Edit existing devices with the editing commands that the DLA Device palette menu

    provides for each device type.

    3. Add and edit devices repeatedly as needed.

    When your cell is complete, you are ready to compact the cell, or go directly to saving the cellwhere it is available to SDL.

    Related Topics

    Devices

    Compacting the CellUse compaction to minimize your layout after routing. Compaction works on cells created usingeither full-custom or automated layout. You can compact either the entire layout or specify arectangular area of a cell for compaction.

    You should also use the compactor to adjust the spacing of your layout after you make edits orchanges to the design rules.

    Prerequisites

    None

    Task

    1. Open the cellwith Edit mode enabled.

    2. Open the Compact prompt bar with the Packages > ICcompact > Compactpulldownmenu item.

    3. Set the direction you want the compactor to push objects in the direction field of theCompact prompt bar. Select left, right, up, or down.

    4. Set the reference point about which you want to compact the design to one of thefollowing settings:

    Extent: Compacts toward the extent of the cell or the extent of the area you select.

    Mark: Compacts toward the mark point.

    Center: Compacts toward the center of the extent or the extent of the area youselect.

    http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/
  • 7/27/2019 icc_pl_olh

    19/405

    Physical Layout Flow

    Hierarchical Cell Creation

    Physical Layout Users Guide, 2008.2 19

    5. Click the Optionsbutton on the Compact prompt bar to open the Compactor Optionsdialog box.

    6. Set up the compactor as needed.

    7. Specify an area to compact by clicking the Optional Areabutton. Draw the rectangle

    that describes the area you want to compact and click OK.

    8. Compact different areas of the block as needed.

    When the compactor is done, be sure to save the cell.

    Hierarchical Cell CreationCreating a cell with hierarchy begins with a logic source and uses IC Station automationfunctions to generate a layout from the logic source. If the logic source changes, IC Stationprovides tools to update the layout based on the changes in the logic source. IC Station also

    offers routing and editing functionality that preserves the hierarchical integrity of the layout.

    Related Tasks

    Generate a Cell Layout from a Logic Source

    Update a Cell Layout from a Changed Logic Source

    Route the Cell Interactively

    Modify Layout Objects

    Cell Hierarchy

    Generating a Cell Layout View from a Schematic

    Generating a cell layout from a schematic - Schematic-Driven Layout (SDL)- uses schematicproperty information and a design viewpoint to create a physical layout of the design.

    Prerequisites

    The cell's schematic view must exist in the ICstudio View pane.

    You must have fixed cells that correspond to each schematic symbol instance and devicegeneratorsthat correspond to each schematic primitive instanceor else Schematic-Driven Layout (SDL) creates a cell on-the-flywith default values.

    Each schematic instance must have SDL properties.

    The cell's projectmust be openin ICstudio.

    http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/
  • 7/27/2019 icc_pl_olh

    20/405

    Physical Layout Users Guide, 2008.220

    Physical Layout Flow

    Hierarchical Cell Creation

    Task

    1. In ICstudio's Library window, select the library that contains the cell from which youwant to create a layout view.

    2. Select the cell in the Cell window.

    3. Select the cell's schematic view in the View window.

    4. Open the Create New View dialog boxwith the File > New > Viewpulldown menuitem.

    5. Make sure the View Type is set to Layout. Click Next.

    6. Change the Connectivity Source to Schematic. Click Next.

    7. Set the Connectivity Loading Options as you desire. Use the Advanced Options buttonto open the Layout Configuration Parameters dialog boxfrom which you can setschematic symbol properties to new values.

    8. If you set the option to automatically create unplaced instances in the layout, then clickNext; otherwise, skip the next step.

    9. Set the options you want in the Automatic Layout Options dialog box.

    10. Click Finished. ICstudio opens ICgraph with two windows: a schematic source windowand an empty layout window.

    11. Place instances manually (one-by-one) or automatically (all at once).

    12. Click the Portsbutton on the DLA Logic palette menu to place ports.

    13. Place each port where you prefer in the layout.

    You now have crossprobingavailable, and you are ready to route your layout interactively.

    Related Topics

    Generate a Cell Layout from a Logic Source

    Place Instances Manually Place Instances Automaticallya. Select from the schematic window

    the instance or instances you want toinstantiate.

    b. Click the Instbutton on the DLALogic palette menu.

    c. Place each instance on the layoutwindow.

    Click the AutoInstbutton on theDLA Logic palette menu.

    All the schematic instances are placedin the layout window in theapproximate relative position as in theschematic window.

    http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/
  • 7/27/2019 icc_pl_olh

    21/405

    Physical Layout Flow

    Hierarchical Cell Creation

    Physical Layout Users Guide, 2008.2 21

    Generating a Cell Layout View from a GDSII Stream File

    Prerequisites

    The cell's project must be openin ICstudio.

    The GDSII stream file must be imported into ICstudio.

    Task

    In ICstudio, double-click the cell's layout view in the View pane. ICgraph appears.

    You are now ready to check, verify, or tape out your design.

    Related Topics

    Generate a Cell Layout from a Logic Source

    Generating a Cell Layout View from a Spice Netlist

    Create a layout from a Spice Netlist interactively if your netlist contains a manageable numberof instances for manual placement, such as you might have in laying out a device-level cell or achip's top-level cell, then use the interactive layout method.

    Prerequisites

    The cell's project must be openin ICstudio.

    The Spice netlist must be imported into ICstudio.

    Task

    1. Select the cell's connectivity view in ICstudio's View pane.

    2. Open the Create New View dialog boxwith the File > New > Viewpulldown menuitem.

    3. Make sure the View Type is set to Layout. Click Next.

    4. Change the Connectivity Source to Connectivity. Click Next.

    5. Set the Connectivity Loading Options as you desire. Use the Advanced Options button

    to open the Layout Configuration Parameters dialog boxfrom which you can set Spiceproperties to new values.

    6. If you set the option to automatically create unplaced instances in the layout, then clickNext; otherwise, skip the next step.

    7. Set the options you want in the Automatic Layout Options dialog box.

    http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/
  • 7/27/2019 icc_pl_olh

    22/405

    Physical Layout Users Guide, 2008.222

    Physical Layout Flow

    Hierarchical Cell Creation

    8. Click Finished. ICgraph appears along with the LDL cockpit. You can open the LDLcockpit at any time with File > Open > LDL Cockpit. If the LDL Cockpit does notappear, turn on the SDL/NDL Tool Bar with the Tools > SDL/NDLpulldown menuitem.

    9. In the LDL Cockpit, select the instance or instances you want to instantiate in the layoutwindow.

    10. ClickEdit > Place Instancesin the LDL Cockpit menu bar.

    11. Place each instance in the layout window.

    You are now ready to routeyour layout.

    Related Topics

    Generate a Cell Layout from a Logic Source

    Generating a Cell Layout View from a Verilog NetlistIC Station can read a Verilog netlist into its hierarchy window, from which you can place androute a layout based on the netlist with AutoCells.

    Prerequisites

    The cell's project must be openin ICstudio.

    The Verilog netlist must be imported into ICstudio.

    Task1. In ICstudio, double-click the Layout view for the cell in the View pane. ICgraph

    appears.

    2. Open the ICassemble toolbar with the Tools > ICassemblepulldown menu item.

    3. Check to make sure AutoCells is correctly set up in your process file with the Tools >Process > Check for AutoCellspulldown menu item.

    4. Click the Run AutoCellsbutton on the ICassemble toolbar. The AutoCellsInteractive dialog boxappears.

    5. Fill out the dialog box as needed and run AutoCells with the Run ACbutton.

    You are now ready to routeyour layout.

    Related Topics

    Import a Verilog Netlist.

    Generate a Cell Layout from a Logic Source

    http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/
  • 7/27/2019 icc_pl_olh

    23/405

    Physical Layout Flow

    Hierarchical Cell Creation

    Physical Layout Users Guide, 2008.2 23

    Generating a Cell Layout View from a DEF File

    Prerequisites

    The cell's project must be openin ICstudio.

    The DEF file must be imported into ICstudio.

    Task

    1. In ICstudio, double-click the Layout view for the cell in the View pane. ICgraphappears.

    2. Open the ICassemble toolbar with the Tools > ICassemblepulldown menu item.

    3. Check to make sure AutoCells is correctly set up in your process file with the Tools >Process > Check for AutoCellspulldown menu item.

    4. Click the Run AutoCellsbutton on the ICassemble toolbar. The AutoCellsInteractive dialog boxappears.

    5. Fill out the dialog box as needed and run AutoCells with the Run ACbutton.

    You are now ready to routeyour layout.

    Related Topics

    Generate a Cell Layout from a Logic Source

    Generating a Cell Layout View from a ViewDraw Design

    Prerequisites

    The cell's project must be openin ICstudio.

    The ViewDraw design must be imported into ICstudio.

    Task

    1. Select the cell's connectivity view in ICstudio's View pane.

    2. Open the Create New View dialog boxwith the File > New > Viewpulldown menu

    item.

    3. Make sure the View Type is set to Layout. Click Next.

    4. Change the Connectivity Source to Connectivity. Click Next.

    http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/
  • 7/27/2019 icc_pl_olh

    24/405

    Physical Layout Users Guide, 2008.224

    Physical Layout Flow

    Hierarchical Cell Creation

    5. Set the Connectivity Loading Options as you desire. Use the Advanced Options buttonto open the Layout Configuration Parameters dialog boxfrom which you can setViewDraw properties to new values.

    6. If you set the option to automatically create unplaced instances in the layout, then clickNext; otherwise, skip the next step.

    7. Set the options you want in the Automatic Layout Options dialog box.

    8. Click Finished. ICgraph appears along with the LDL cockpit. You can open the LDLcockpit at any time with File > Open > LDL Cockpit.

    9. In the LDL Cockpit, select the instance or instances you want to instantiate in the layoutwindow.

    10. ClickEdit > Place Instancesin the LDL Cockpit menu bar.

    11. Place each instance in the layout window.

    You are now ready to routeyour layout.

    Related Topics

    Generate a Cell Layout from a Logic Source

    Routing the Cell Interactively

    Interactive Routing is a method of routing in which you lay out each route by hand.

    Prerequisites

    The cell must be openwith Edit Mode enabled.

    Task

    1. Select the Setup > IRoutemenu item. The Setup Interactive Routing (IRoute) dialogboxappears.

    2. Set up the options to suit your design.

    3. Select the IRoutebutton on the Edit Bar.

    4. Press the space bar to cycle through the routing layers.

    5. Click on each point where you want to place a vertex of the route.

    6. When you reach the target, the route is complete and you can add more routes.

    7. Enhance your routing with Additional IRoute Features.

    8. Repeat this process until your routing is complete.

    http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/
  • 7/27/2019 icc_pl_olh

    25/405

    Physical Layout Flow

    Hierarchical Cell Creation

    Physical Layout Users Guide, 2008.2 25

    You are now ready to compact the cell.

    Related Topics

    Interactive Routing

    Routing Blocks by Area

    Modify Layout Objects

    IC Station allows you to edit a hierarchical cell. Refer to the related tasks to see what types ofediting functions you can perform on different objects.

    Related Tasks

    Adding/Editing Layout Objects

    Adding/Editing Devices

    Updating a Cell Layout from a Changed Schematic

    Once you generate a layout from a schematic source, you can change the schematic source andautomatically update the layout.

    Prerequisites

    The cell's project must be openin ICstudio.

    The cell's changed schematic view must exist in the ICstudio View pane.

    Task

    1. In ICstudio, double-click the Layout view to open the layout in ICgraph.

    2. Open the schematic logic source with the File > Open > Logicpulldown menu item.

    3. Open the LDL Cockpit with the File > Open > LDL Cockpitpulldown menu item.

    4. Run ECO with Tools > Run ECOfrom the LDL Cockpit menu bar. The LDL Cockpitruns ECO and shows the results in the ECO Results window.

    5. Examine each ECO result. The LDL Cockpit shows the logic value, the layout value,and the suggested layout action to fix the ECO error.

    6. Select the errors you want the LDL Cockpit to ignore in future ECO runs.

    7. Add the selected errors to the ignore list with Edit > Ignore ECO Items.

    http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/
  • 7/27/2019 icc_pl_olh

    26/405

    Physical Layout Users Guide, 2008.226

    Physical Layout Flow

    Hierarchical Cell Creation

    8. Select the errors you want to have the LDL Cockpit fix automatically. The LDL Cockpitcannot fix any error that has a layout action in parentheses. You need to repair the errormanually based on the ECO Repair Actions.

    9. Fix the selected errors with Edit > Fix ECO Itemsfrom the LDL Cockpit menu bar.

    10. Open the Design tab of the LDL Cockpit.

    11. Place any unplaced instances generated from fixed ECO errors by selecting the unplacedinstances and placing them in the layout with Edit > Place Instancesfrom the LDLCockpit menu bar.

    Related Topics

    Generate and Update a Cell Layout from a Logic Source

    Updating a Cell Layout View from a Changed GDSII

    Stream FileOnce you generate a layout from a GDSII stream file, you can edit the file and automaticallyupdate the layout.

    Prerequisites

    The cell's project must be openin ICstudio.

    The new GDSII stream file must be imported into ICstudio with "Update existing layoutviews" selected in the Advanced Options dialog box.

    Task

    In ICstudio, double-click the cell's layout view in the View pane. ICgraph appears.

    Related Topics

    Generate and Update a Cell Layout from a Logic Source

    Updating a Cell Layout from a Changed Spice Netlist

    Once you generate a layout from a Spice netlist, you can change the Spice netlist and update the

    layout.

    Prerequisites

    The cell's project must be openin ICstudio.

    The new Spice netlist must be imported into ICstudio.

    http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/
  • 7/27/2019 icc_pl_olh

    27/405

    Physical Layout Flow

    Hierarchical Cell Creation

    Physical Layout Users Guide, 2008.2 27

    Task

    1. In ICstudio, Double-click the cell's Layout view to open ICgraph.

    2. Open the cell's Spice Netlist logic source with File > Open > Logic. The LDL cockpitshould appear. If it does not, open it with File > Open > LDL Cockpit.

    3. Run ECO with Tools > Run ECOfrom the LDL Cockpit menu bar. The LDL Cockpitruns ECO and shows the results in the ECO Results window.

    4. Examine each ECO result. The LDL Cockpit shows the logic value, the layout value,and the suggested layout action to fix the ECO error.

    5. Select the errors you want the LDL Cockpit to ignore in future ECO runs.

    6. Add the selected errors to the ignore list with Edit > Ignore ECO Items.

    7. Select the errors you want to have the LDL Cockpit fix automatically. The LDL Cockpitcannot fix any error that has a layout action in parentheses. You need to repair the error

    manually based on the ECO Repair Actions.

    8. Fix the selected errors with Edit > Fix ECO Itemsfrom the LDL Cockpit menu bar.

    9. Open the Design tab of the LDL Cockpit.

    10. Place any unplaced instances generated from fixed ECO errors by selecting the unplacedinstances and placing them in the layout with Edit > Place Instancesfrom the LDLCockpit menu bar.

    Related Topics

    Generate and Update a Cell Layout from a Logic Source

    Updating a Cell Layout from a Changed Verilog Netlist

    Once you generate a layout from a Verilog netlist, you can change the netlist and automaticallyupdate the layout.

    Prerequisites

    The cell's project must be openin ICstudio.

    The new Verilog netlist must be imported into ICstudio.

    Task

    1. In ICstudio, double-click the Layout view for the cell in the View pane. ICgraphappears.

    2. Open the ICassemble toolbar with the Tools > ICassemblepulldown menu item.

    http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/
  • 7/27/2019 icc_pl_olh

    28/405

    Physical Layout Users Guide, 2008.228

    Physical Layout Flow

    Hierarchical Cell Creation

    3. Open the Hierarchy window with the File > Open > Hierarchy Windowpulldownmenu item. Any cells you have added to the logic source appear as unplaced in thehierarchy window. Any cells you have deleted from the logic source no longer appear inthe list or in the layout.

    4. Select the cells you want to place.

    5. Click on the layout window.

    6. Click the Place Objectbutton in the ICassemble toolbar.

    7. Place each instance in the layout window.

    Related Topics

    Generate and Update a Cell Layout from a Logic Source

    Updating a Cell Layout from a Changed DEF FileOnce you generate a layout from a DEF file, you can change the netlist and automaticallyupdate the layout.

    Prerequisites

    The cell's project must be openin ICstudio.

    The new DEF file must be imported into ICstudio.

    Task

    1. In ICstudio, double-click the Layout view for the cell in the View pane. ICgraphappears.

    2. Select the Tools > ICassemblepulldown menu item. The ICassemble toolbar opens.

    3. Open the Hierarchy window with the File > Open > Hierarchy Windowpulldownmenu item. Any cells you have added to the logic source appear as unplaced in thehierarchy window. Any cells you have deleted from the logic source no longer appear inthe list or in the layout.

    4. Select the cells you want to place.

    5. Click on the layout window.

    6. Click the Place Objectbutton in the ICassemble toolbar.

    7. Place each instance in the layout window.

    Related Topics

    Generate and Update a Cell Layout from a Logic Source

    http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/http://ic_pl_olh.pdf/http://ic_admin_olh.pdf/http://ic_pl_olh.pdf/
  • 7/27/201