7
746 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 2, FEBRUARY 2013 Ni(Ge 1x Sn x ) Ohmic Contact Formation on N-Type Ge 1x Sn x Using Selenium or Sulfur Implant and Segregation Yi Tong, Genquan Han, Bin Liu, Yue Yang, Lanxiang Wang, Wei Wang, and Yee-Chia Yeo, Member, IEEE Abstract—The physics of ohmic contact formation for nickel stanogermanide [Ni(Ge 1x Sn x )] on n-type germanium–tin (n-Ge 1x Sn x ) was investigated. Low-resistivity Ni(Ge 1x Sn x ) was formed on Ge 1x Sn x using a 350 C 30-s anneal. Ion implantation of selenium (Se) or sulfur (S) into n-Ge 1x Sn x followed by nickel stanogermanidation led to the segregation of Se or S at the Ni(Ge 1x Sn x )/n-Ge 1x Sn x interface. Low effective electron Schottky barrier height n B ) of 0.12 and 0.11 eV was achieved for Ni(Ge 1x Sn x )/n-Ge 1x Sn x contacts with Se and S segregation, respectively. A simulation study was also performed to explain the experimental observations. Se and S atoms could be modeled as donor-like traps near the Ni(Ge 1x Sn x )/n-Ge 1x Sn x interface, modifying the potential profile near the contact and giving rise to trap-assisted tunneling to increase the reverse leakage current for ohmic contact formation. Index Terms—Nickel stanogermanide, Schottky barrier height (SBH), segregation, selenium (Se), sulfur (S). I. I NTRODUCTION G ERMANIUM–TIN (Ge 1x Sn x ) could have high electron mobility and shows promise as an alternative channel material for n-MOSFETs [1]. Ge 1x Sn x channel n-MOSFETs were recently reported [2], [3], and nonself-aligned metallic Ni [2] and Ti/Al [3] contacts on n + Ge 1x Sn x source and drain (S/D) were used. Good self-aligned ohmic contacts with low Schottky barrier height (SBH) on n + Ge 1x Sn x S/D are needed. However, there are no reports of self-aligned ohmic contact formation on n-type Ge 1x Sn x (n-Ge 1x Sn x ). In addi- tion, a challenge for forming metallic contacts on n-type Ge or Ge 1x Sn x is the pinning of the Fermi level of metallic materials toward the valence band, leading to a large SBH for electrons and, therefore, a high contact resistance. One potential approach to form self-aligned ohmic contact is to introduce specific atomic species by implant prior to self- aligned silicidation or germanidation [4]–[7]. Implanted species will be pushed to the metal–semiconductor interface, resulting in a low effective SBH B ) and, thus, a low contact resistance Manuscript received October 2, 2012; revised November 27, 2012; accepted December 4, 2012. Date of publication January 3, 2013; date of current version January 18, 2013. This work was supported by the National Research Foundation of Singapore under Grant NRF-RF2008-09. The review of this paper was arranged by Editor H. Jaouen. The authors are with the Department of Electrical and Computer En- gineering, National University of Singapore, Singapore 119260 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TED.2012.2233204 Fig. 1. TEM image of the epitaxially grown Ge 1x Snx layer on top of an n-type Ge (100) substrate. The interface between Ge 1x Snx and Ge is clearly observed. The quality of the epitaxial Ge 1x Snx is good. (R c ). For nickel silicide (NiSi) contacts on silicon (Si), the effective SBH can be reduced using implantation and segrega- tion of sulfur (S) [8]–[15], selenium (Se) [15]–[17], aluminum (Al) [18]–[23], tellurium (Te) [25], [26], and indium (In) [27]. For nickel germanide (NiGe) contacts on n-type germanium (n-Ge), the effective electron SBH was reduced using implanta- tion and segregation of sulfur (S) [28]–[32] and selenium (Se) [32]. For p-type germanium (p-Ge), an ohmic contact can be easily formed due to strong pinning of the metal Fermi level to- ward the valence band of Ge [28]–[30]. For n-Ge 1x Sn x , it will be very interesting to investigate the feasibility of self-aligned ohmic contact formation using implantation and segregation of selected atomic species. In this paper, we report the first demonstration of self-aligned Ni(Ge 1x Sn x ) ohmic contacts on n-Ge 1x Sn x , featuring ion implantation and segregation of selenium (Se) or sulfur (S) at the Ni(Ge 1x Sn x )/n-Ge 1x Sn x interface. Se or S atoms were implanted into n-Ge 1x Sn x and were pushed to the interface between Ni(Ge 1x Sn x ) and n-Ge 1x Sn x during stanogermanidation, resulting in low effective electron SBHs n B ) of 0.12 and 0.11 eV, respectively. Ohmic behavior was successfully achieved; Se and S segregation peaks were clearly observed using secondary ion mass spectrometry (SIMS) measurement. II. DEVICE FABRICATION The starting substrate is 150-nm-thick GeSn on an n-type Ge (100) substrate. The substitutional Sn composition is 4.2%, as determined by high-resolution X-ray diffraction (XRD). Fig. 1 shows the transmission electron microscopy (TEM) images 0018-9383/$31.00 © 2013 IEEE

$\hbox{Ni}(\hbox{Ge}_{1 - x}\hbox{Sn}_{x})$ Ohmic Contact Formation on N-Type $\hbox{Ge}_{1 - x}\hbox{Sn}_{x}$ Using Selenium or Sulfur Implant and Segregation

Embed Size (px)

Citation preview

Page 1: $\hbox{Ni}(\hbox{Ge}_{1 - x}\hbox{Sn}_{x})$ Ohmic Contact Formation on N-Type $\hbox{Ge}_{1 - x}\hbox{Sn}_{x}$  Using Selenium or Sulfur Implant and Segregation

746 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 2, FEBRUARY 2013

Ni(Ge1−xSnx) Ohmic Contact Formation on N-TypeGe1−xSnx Using Selenium or Sulfur Implant

and SegregationYi Tong, Genquan Han, Bin Liu, Yue Yang, Lanxiang Wang, Wei Wang, and Yee-Chia Yeo, Member, IEEE

Abstract—The physics of ohmic contact formation for nickelstanogermanide [Ni(Ge1−xSnx)] on n-type germanium–tin(n-Ge1−xSnx) was investigated. Low-resistivity Ni(Ge1−xSnx)was formed on Ge1−xSnx using a 350 ◦C 30-s anneal. Ionimplantation of selenium (Se) or sulfur (S) into n-Ge1−xSnx

followed by nickel stanogermanidation led to the segregationof Se or S at the Ni(Ge1−xSnx)/n-Ge1−xSnx interface. Loweffective electron Schottky barrier height (Φn

B) of 0.12 and0.11 eV was achieved for Ni(Ge1−xSnx)/n-Ge1−xSnx contactswith Se and S segregation, respectively. A simulation study wasalso performed to explain the experimental observations. Seand S atoms could be modeled as donor-like traps near theNi(Ge1−xSnx)/n-Ge1−xSnx interface, modifying the potentialprofile near the contact and giving rise to trap-assisted tunnelingto increase the reverse leakage current for ohmic contactformation.

Index Terms—Nickel stanogermanide, Schottky barrier height(SBH), segregation, selenium (Se), sulfur (S).

I. INTRODUCTION

G ERMANIUM–TIN (Ge1−xSnx) could have high electronmobility and shows promise as an alternative channel

material for n-MOSFETs [1]. Ge1−xSnx channel n-MOSFETswere recently reported [2], [3], and nonself-aligned metallicNi [2] and Ti/Al [3] contacts on n+ Ge1−xSnx source anddrain (S/D) were used. Good self-aligned ohmic contacts withlow Schottky barrier height (SBH) on n+ Ge1−xSnx S/D areneeded. However, there are no reports of self-aligned ohmiccontact formation on n-type Ge1−xSnx (n-Ge1−xSnx). In addi-tion, a challenge for forming metallic contacts on n-type Ge orGe1−xSnx is the pinning of the Fermi level of metallic materialstoward the valence band, leading to a large SBH for electronsand, therefore, a high contact resistance.

One potential approach to form self-aligned ohmic contactis to introduce specific atomic species by implant prior to self-aligned silicidation or germanidation [4]–[7]. Implanted specieswill be pushed to the metal–semiconductor interface, resultingin a low effective SBH (ΦB) and, thus, a low contact resistance

Manuscript received October 2, 2012; revised November 27, 2012; acceptedDecember 4, 2012. Date of publication January 3, 2013; date of currentversion January 18, 2013. This work was supported by the National ResearchFoundation of Singapore under Grant NRF-RF2008-09. The review of thispaper was arranged by Editor H. Jaouen.

The authors are with the Department of Electrical and Computer En-gineering, National University of Singapore, Singapore 119260 (e-mail:[email protected]; [email protected]).

Color versions of one or more of the figures in this paper are available onlineat http://ieeexplore.ieee.org.

Digital Object Identifier 10.1109/TED.2012.2233204

Fig. 1. TEM image of the epitaxially grown Ge1−xSnx layer on top of ann-type Ge (100) substrate. The interface between Ge1−xSnx and Ge is clearlyobserved. The quality of the epitaxial Ge1−xSnx is good.

(Rc). For nickel silicide (NiSi) contacts on silicon (Si), theeffective SBH can be reduced using implantation and segrega-tion of sulfur (S) [8]–[15], selenium (Se) [15]–[17], aluminum(Al) [18]–[23], tellurium (Te) [25], [26], and indium (In) [27].For nickel germanide (NiGe) contacts on n-type germanium(n-Ge), the effective electron SBH was reduced using implanta-tion and segregation of sulfur (S) [28]–[32] and selenium (Se)[32]. For p-type germanium (p-Ge), an ohmic contact can beeasily formed due to strong pinning of the metal Fermi level to-ward the valence band of Ge [28]–[30]. For n-Ge1−xSnx, it willbe very interesting to investigate the feasibility of self-alignedohmic contact formation using implantation and segregation ofselected atomic species.

In this paper, we report the first demonstration of self-alignedNi(Ge1−xSnx) ohmic contacts on n-Ge1−xSnx, featuring ionimplantation and segregation of selenium (Se) or sulfur (S)at the Ni(Ge1−xSnx)/n-Ge1−xSnx interface. Se or S atomswere implanted into n-Ge1−xSnx and were pushed to theinterface between Ni(Ge1−xSnx) and n-Ge1−xSnx duringstanogermanidation, resulting in low effective electron SBHs(Φn

B) of 0.12 and 0.11 eV, respectively. Ohmic behavior wassuccessfully achieved; Se and S segregation peaks were clearlyobserved using secondary ion mass spectrometry (SIMS)measurement.

II. DEVICE FABRICATION

The starting substrate is 150-nm-thick GeSn on an n-type Ge(100) substrate. The substitutional Sn composition is 4.2%, asdetermined by high-resolution X-ray diffraction (XRD). Fig. 1shows the transmission electron microscopy (TEM) images

0018-9383/$31.00 © 2013 IEEE

Page 2: $\hbox{Ni}(\hbox{Ge}_{1 - x}\hbox{Sn}_{x})$ Ohmic Contact Formation on N-Type $\hbox{Ge}_{1 - x}\hbox{Sn}_{x}$  Using Selenium or Sulfur Implant and Segregation

TONG et al.: Ni(Ge1−xSnx) OHMIC CONTACT FORMATION ON N-TYPE Ge1−xSnx 747

Fig. 2. Schematic of a Ni(Ge1−xSnx)/n-Ge1−xSnx contact withprestanogermanide Se or S implant and segregation. S or Se implant wasperformed prior to the deposition and reaction of Ni with Ge1−xSnx to formNi(Ge1−xSnx) or NiGeSn. Electrical characterization was done by applyingvoltage V on Ni(Ge1−xSnx), and the Al contact is grounded.

of the epitaxially grown GeSn layer on the n-type Ge (100)substrate. The GeSn films were doped using phosphorus (P) atenergies of 50, 130, and 250 keV. For each implant energy, theimplant dose is 1 × 1013 cm−2. A 400 ◦C 5-min rapid thermalanneal (RTA) step was used for P activation.

A 200-nm plasma-enhanced chemical vapor deposition SiO2

was deposited and patterned to define active regions. Here, ex-perimental splits were introduced. The samples either receivedSe or S implant. Se or S implant was performed at energies of8 and 5 keV, respectively, at the same dose of 1 × 1015 cm−2.The target implant range for Se or S is 6.8 and 6.6 nm belowthe GeSn surface, respectively. With the implant conditionsused in this work, the topmost GeSn layer is amorphized,and there should be some crystal damage or disorder beneaththe amorphous–crystalline boundary. From TEM analysis ofS- and Se-implanted Ge samples in a separate experiment, theS-implanted one seems to have less crystal disorder or damagein the Ge lattice as compared with the Se-implanted one.

A 10-nm-thick Ni was deposited after native oxide removalin the active region. This was followed by RTA at 350 ◦C for30 s in N2 ambient for the stanogermanidation. The stanoger-manidation consumed the amorphous GeSn layer. Unreacted Niwas then removed using concentrated sulfuric acid (H2SO4).This completed the formation of self-aligned Ni(Ge1−xSnx)contacts.

Finally, 200-nm-thick Al was deposited on the backside ofthe samples. I–V characteristics of the contact devices withan area of 100 × 100 μm2 were measured. Blanket sampleswere also prepared using the same implant and stanogermanida-tion conditions for physical analyses such as XRD and time-of-flight SIMS (TOF-SIMS). Fig. 2 shows a schematic of aNi(Ge1−xSnx)/n-Ge1−xSnx Schottky diode with prestanoger-manide Se or S implant and segregation.

III. RESULTS AND DISCUSSION

A. Material Characterization of Blanket Samples of NickelStanogermanide Films With Selenium or Sulfur Implant

In Fig. 3, XRD characterization was performed to examinethe phase of nickel stanogermanide films. Similar profiles areobserved for the samples that received Se or S implant. It wasreported that the low-resistivity nickel monostanogermanidephase would form after a 350 ◦C 30-s anneal [34]. In this ex-

Fig. 3. XRD characterization of Ni(Ge1−xSnx) films for the samples withSe and S implant. It is found that the phase of Ni(Ge1−xSnx) is nickelmonostanogermanide after a 350 ◦C 30-s anneal. Se and S do not affect theformation of low-resistivity nickel monostanogermanide.

Fig. 4. Room-temperature current–voltage characteristics of Ni(Ge1−xSnx)/n-Ge1−xSnx contact devices formed with prestanogermanide Se or S implant.The contact has an area of 100 × 100 μm2. Φn

B was extracted using anactivation energy method. The extracted Φn

B of the samples with Se and Simplants are 0.12 and 0.11 eV, respectively. The rectifying behavior for thecontrol sample indicates strong Fermi level pinning near the valence band edgeof n-Ge1−xSnx.

periment, the nickel monostanogermanide phase was found forboth Se and S samples, indicating that neither Se nor S implantaffects the phase formation for nickel monostanogermanide.

B. Electrical Characterization of Diodes With Selenium orSulfur Implant

Fig. 4 shows the room temperature I–V characteristics ofNi(Ge1−xSnx)/n-Ge1−xSnx contacts with different implan-tation conditions. The control sample without any implantshows rectifying I−V behavior, giving a large Φn

B . OhmicI–V behavior is clearly observed for samples with Se or Simplant. The increased reverse currents indicate reduction inthe effective SBH for electrons. Fig. 5 shows the cumulativeprobability plot of the reverse current measured at −1 V forNi(Ge1−xSnx)/n-Ge1−xSnx contacts with Se or S implant. Thelower crystal damage for the S-implanted sample as comparedwith the Se-implanted sample may explain the smaller spreadin the reverse current density, as current density may also becaused by defect density due to crystal damage and its device-to-device variation.

Figs. 6 and 7 show the Arrhenius plots used for extraction ofΦn

B of the samples with Se or S implant. The inset shows the

Page 3: $\hbox{Ni}(\hbox{Ge}_{1 - x}\hbox{Sn}_{x})$ Ohmic Contact Formation on N-Type $\hbox{Ge}_{1 - x}\hbox{Sn}_{x}$  Using Selenium or Sulfur Implant and Segregation

748 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 2, FEBRUARY 2013

Fig. 5. Cumulative probability plot of the reverse current measured at −1 Vfor Ni(Ge1−xSnx)/n-Ge1−xSnx contacts with Se and S implant.

Fig. 6. Arrhenius plots of Ni(Ge1−xSnx)/n-Ge1−xSnx contacts formedwith prestanogermanide Se implant (8 keV, 1 × 1015 cm−2). The Se-implantedsample with the median value for current density was used in low-temperatureI–V measurement. The inset shows the low-temperature current–voltage char-acteristics used to extract Φn

B . In order to avoid the influence of the voltage dropacross the substrate series resistance, the currents under reverse bias (−0.1 V)at temperatures ranging from 230 to 255 K were used to extract the effectiveSBH. The extracted Φn

B is 0.12 eV.

Fig. 7. Arrhenius plots of Ni(Ge1−xSnx)/n-Ge1−xSnx contacts formedwith prestanogermanide S implant (5 keV, 1 × 1015 cm−2). The inset showsthe low-temperature current–voltage characteristics used to extract Φn

B . Theextracted Φn

B is 0.11 eV.

low-temperature I–V characteristics of the Ni(Ge1−xSnx)/n-Ge1−xSnx contacts. The details of the method of extractionof Φn

B can be found in [40]–[47]. We used the currents underreverse bias for extraction of the effective electron SBH [35],where the effects of series resistance and junction nonidealityare not significant. Φn

B was extracted using the activationenergy method [29], [41], [42]. The current under low reverse

Fig. 8. (a) Depth profiles of the implanted species in Ni(Ge1−xSnx)/n-Ge1−xSnx contacts with S implant (5 keV, 1 × 1015 cm−2). (b) Depth pro-files of the implanted species in Ni(Ge1−xSnx)/n-Ge1−xSnx contacts withSe implant (8 keV, 1 × 1015 cm−2). Obvious S segregation peak was found atthe Ni(Ge1−xSnx)/n-Ge1−xSnx interface, whereas the Se segregation peakwas located inside the Ni(Ge1−xSnx) layer. It is believed that Se and S atomswere pushed to the interface due to the snowplow effect.

bias was measured at temperatures ranging from 230 to 255 Kin steps of 5 K. According to the thermionic emission (TE)theory [29], [41], [42], temperature dependence of the reversecurrent IR of the Schottky contacts can be expressed as

ln

(IRT 2

)= ln(AA∗∗)− qΦn

B

kT(1)

where A is diode area, A∗∗ is effective Richardson constant,k is Boltzman constant, q is the electronic charge, and Tis temperature. The slope can be obtained from a plot ofIR/T

2 versus 1000/T . ΦnB was calculated from the slope. The

extracted ΦnB of the samples with Se and S implants are 0.12

and 0.11 eV, respectively. Introduction of Se or S lowered ΦnB

of Ni(Ge1−xSnx)/n-Ge1−xSnx contacts. Based on the experi-mental conditions used in this work, S and Se are comparablein the extent of Φn

B reduction. However, it is important to pointout that the extent of Φn

B reduction is expected to depend onprofile of Se and S relative to the Ni(Ge1−xSnx)/n-Ge1−xSnx

interface and, possibly, the stanogermanidation conditions.To further investigate the impact of Se or S implant on Φn

B

reduction, Fig. 8 shows the depth profiles of the implantedspecies in Ni(Ge1−xSnx)/n-Ge1−xSnx as obtained by TOF-SIMS measurement. It is found that implanted Se and S atomsare pushed to the Ni(Ge1−xSnx)/n-Ge1−xSnx interface due tothe snowplow effect during stanogermanidation, and clear seg-regation peaks of Se and S are observed. It is found that Se seg-regation peak is located inside the Ni(Ge1−xSnx) film, whereasS segregation peak is at the interface of Ni(Ge1−xSnx) andn-Ge1−xSnx. It is believed that the Φn

B reduction observed is

Page 4: $\hbox{Ni}(\hbox{Ge}_{1 - x}\hbox{Sn}_{x})$ Ohmic Contact Formation on N-Type $\hbox{Ge}_{1 - x}\hbox{Sn}_{x}$  Using Selenium or Sulfur Implant and Segregation

TONG et al.: Ni(Ge1−xSnx) OHMIC CONTACT FORMATION ON N-TYPE Ge1−xSnx 749

Fig. 9. Energy band diagram of a Ni(Ge1−xSnx)/n-Ge1−xSnx contactwithout any implant and segregation. ECEFEC , and EV are the Fermi energylevel, conduction, and valence band edge, respectively. Electrons may surmountthe actual Schottky barrier by TE.

attributed to Se or S segregation in Ni(Ge1−xSnx)/n-Ge1−xSnx

contacts.

C. Mechanisms for Reduction in the Effective SBH inSelenium- or Sulfur-Implanted Nickel Germanium TinContacts

Here, we will discuss a possible mechanism that leadsto the significant increase in the reverse bias current forNi(Ge1−xSnx)/n-Ge1−xSnx contacts. Fig. 9 shows an energyband diagram for a Ni(Ge1−xSnx)/n-Ge1−xSnx contact. Ac-cording to the TE theory [29], [41], [42], carriers with excessenergy higher than the SBH can surmount the barrier, leadingto a TE current, as shown in (1). Electrons with lower energymay surmount the Schottky barrier if the Fermi level of themetal contact is nearer to the conduction band of Ge1−xSnx

or if the SBH is smaller. In this paper, the XRD analysisreveals that the Se or S implant has a negligible impact on thebulk property of nickel stanogermanide film, and a change inthe workfunction of nickel stanogermanide is not expected. Spassivation or segregation has been proposed to cause Fermilevel depinning on Ge, so as to reduce the electron SBH withoutmodifying the metal workfunction [29], [43]. Based on thesimulation results and the physical understanding, we proposeanother possible model involving trap-assisted tunneling (TAT),which could coexist with Fermi level depinning for explainingthe Φn

B reduction caused by Se or S segregation.The presence of Se or S atoms at the metal–semiconductor

interface has been reported to reduce the effective ΦnB , thus

giving a high reverse current [8]–[15], [28]–[32]. In this paper,Se and S segregation should be responsible for the achievementof the ohmic contact formation on n-Ge1−xSnx. Se and S areknown to act as donor impurities in Ge and introduce theshallow donor-like trap levels below the conduction band of Ge[46]. S has a trap level of 0.18 eV below the conduction bandof Ge, whereas Se has double trap levels of 0.14 and 0.28 eVbelow the conduction band of Ge [46]. Se and S may havesimilar behavior in Ge1−xSnx having a low Sn composition.The presence of the ionized Se or S traps might cause bandbending of the semiconductor substrate, thus reducing thebarrier depletion width and increasing the electron tunnelingprobability [25], [32], [43]. A high reverse bias current isconsistent with a low Φn

B or the formation of an ohmic contact.

Fig. 10. (a) Depth profile of sulfur used in numerical simulation is plottedusing a solid line, which fits well to the experimental SIMS sulfur profile (in cir-cles). The profile of ionized sulfur traps was extracted from numerical simula-tion. (b) Simulated energy band diagram of the Ni(Ge1−xSnx)/n-Ge1−xSnxcontacts with and without S implant and segregation. EF , EC , and EV are theFermi energy level, conduction, and valence band edge, respectively.

To verify this postulation, simulation was performed toexamine the energy band diagram of the Ni(Ge1−xSnx)/n-Ge1−xSnx contacts with or without S or Se implant usingSynopsys technology computer-aided design tools [47]. S wassimulated as donor-like traps with a trap level at 0.18 eV belowthe conduction band of GeSn, whereas Se was simulated asdonor-like traps with double trap levels at 0.14 and 0.28 eVbelow the conduction band of GeSn in the simulation [46].The S or Se distribution within the substrate is modeled witha piecewise distribution profile that closely matches the S or Seprofile obtained from the SIMS analysis. Fermi–Dirac carrierstatistics was used to simulate the energy band diagram of theNi(Ge1−xSnx)/n-Ge1−xSnx Schottky contact with the mod-eled S or Se traps at 300 K. The workfunction of Ni(Ge1−xSnx)used in the simulation is set to 4.61 eV. The substrate is dopedwith a uniform n-type doping of 1 × 1018 cm−3. The band gapof GeSn with a Sn composition of 4.2% is 0.59 eV [48], [49].The electron affinity of GeSn with 4.2% Sn is 4.051 eV [50].

Fig. 10(a) shows the actual, the modeled, and the ionizedS traps in the simulation. The model of incomplete ioniza-tion of impurities is used to calculate the ionization rate of

Page 5: $\hbox{Ni}(\hbox{Ge}_{1 - x}\hbox{Sn}_{x})$ Ohmic Contact Formation on N-Type $\hbox{Ge}_{1 - x}\hbox{Sn}_{x}$  Using Selenium or Sulfur Implant and Segregation

750 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 2, FEBRUARY 2013

Fig. 11. (a) Depth profile of selenium used in numerical simulation isplotted using a solid line, which fits well to the experimental SIMS se-lenium profile (in circles). The profile of ionized selenium traps was ex-tracted from numerical simulation. (b) Simulated energy band diagram ofthe Ni(Ge1−xSnx)/n-Ge1−xSnx contacts with and without Se implant andsegregation. EF , EC , and EV are the Fermi energy level, conduction, andvalence band edge, respectively.

S or Se traps. The ionized S profile shows near-completeionization of the S traps in the first few nanometers awayfrom the Ni(Ge1−xSnx)/n-Ge1−xSnx interface, and the per-centage ionization becomes lower as one moves away from theNi(Ge1−xSnx)/n-Ge1−xSnx interface. This might be explainedby a partial occupancy of the donor-like trap level with electronswhile is caused by the lowering of the conduction band andthe donor-like trap level toward the Fermi level in the deepern-Ge1−xSnx region [25], [42]. Fig. 10(b) shows the simulatedenergy band diagrams of the sample with the ionized S trapsnear the Ni(Ge1−xSnx)/n-Ge1−xSnx interface. It is clearlyobserved that the addition of the ionized S traps leads to a sharpdownward band bending of Ge1−xSnx. To examine the effectof the ionized Se traps, the similar simulation was performed,as shown in Fig. 11.

The simulation shows that the presence of the ionized S orSe traps near the Ni(Ge1−xSnx)/n-Ge1−xSnx interface reducesthe barrier depletion width for tunneling and also increases theprobability of TAT of electrons through the barrier region [25],[32], [44]. Fig. 12 shows the simulated I−V characteristics

Fig. 12. Simulated I−V characteristics of the S-implanted Ni(Ge1−xSnx)/n-Ge1−xSnx contacts with and without turning on the TAT model.

of the S-implanted Ni(Ge1−xSnx)/n-Ge1−xSnx contacts, withthe TAT model turned on or turned off. Electrons with lowerenergy than the actual SBH may tunnel from Ni(Ge1−xSnx)to n-Ge1−xSnx by TAT, giving a larger reverse bias current,which is manifested as a smaller effective electron SBH. Thiscould contribute to the ohmic contact formation on n-Ge1−xSnx

in this work. This points toward further tuning of the im-plant conditions of segregation species and also the stanoger-manidation conditions so as to push more S or Se atomsto the Ni(Ge1−xSnx)/n-Ge1−xSnx interface for further Φn

B

reduction. A higher concentration of segregated S and Se nearthe Ni(Ge1−xSnx)/n-Ge1−xSnx interface would give rise to alarger tunneling current, which is good for the ohmic contactformation.

IV. CONCLUSION

Self-aligned Ni(Ge1−xSnx) contacts with Se or S segrega-tion on n-Ge1−xSnx were investigated. Ohmic behavior wasachieved, and low effective SBHs of 0.11 and 0.12 eV wereextracted for the samples with S or Se implant, respectively.It is found that the Se or S implant and segregation does notaffect the phase formation of nickel monostanogermanide. Theobserved Φn

B reduction is caused by Se or S segregation withinNi(Ge1−xSnx)/n-Ge1−xSnx contacts, which may enhance theTAT of electrons.

REFERENCES

[1] J. D. Sau and M. L. Cohen, “Possibility of increased mobility in Ge–Snalloy system,” Phys. Rev. B, Condens. Matter, vol. 75, no. 4, pp. 045208-1–045208-7, Jan. 2007.

[2] G. Han, S. Su, L. Wang, W. Wang, X. Gong, Y. Yang, P. Ivana, C. Guo,G. Guo, J. Zhang, Z. Pan, C. Zhang, B. Xue, and Y.-C. Cheng, “Strainedgermanium–tin (GeSn) n-channel MOSFETs featuring low temperaturen + /p junction formation and GeSnO2 interfacial layer,” in VLSI Symp.Tech. Dig., Honolulu, HI, Jun. 12–14, 2012, pp. 97–98.

[3] S. Gupta, B. Vincent, D. H. C. Lin, M. Gunji, A. Firrincieli, F. Gencarelli,B. Magyari-Köpe1, B. Yang, B. Douhard, J. Delmotte, A. Franquet,M. Caymax, J. Dekoster, Y. Nishi, and K. C. Saraswat, “GeSn channelnMOSFETs: Material potential and technological outlook,” in VLSI Symp.Tech. Dig., Honolulu, HI, Jun. 12–14, 2012, pp. 95–96.

[4] Y.-C. Yeo, “Advanced source/drain technologies for parasitic resistancereduction,” in Proc. 10th Int. Workshop Junct. Technol., Shanghai, China,May 10/11, 2010, pp. 1–5.

[5] Z. Zhang, Z. J. Qiu, R. Liu, M. Ostling, and S. L. Zhang, “Schottky-barrierheight tuning by means of ion implantation into preformed silicide filmsfollowed by drive-in anneal,” IEEE Electron Device Lett., vol. 28, no. 7,pp. 565–568, Jul. 2007.

Page 6: $\hbox{Ni}(\hbox{Ge}_{1 - x}\hbox{Sn}_{x})$ Ohmic Contact Formation on N-Type $\hbox{Ge}_{1 - x}\hbox{Sn}_{x}$  Using Selenium or Sulfur Implant and Segregation

TONG et al.: Ni(Ge1−xSnx) OHMIC CONTACT FORMATION ON N-TYPE Ge1−xSnx 751

[6] A. M. Noori, M. Balseanu, P. Boelen, A. Cockburn, S. Demuynck,S. Felch, S. Gandikota, A. J. Gelatos, A. Khandelwal, J. A. Kittl,A. Lauwers, W. C. Lee, J. X. Lei, T. Mandrekar, R. Schreutelkamp,K. Shah, S. E. Thompson, P. Verheyen, C. Y. Wang, L. Q. Xia, andR. Arghavani, “Manufacturable processes for <=32-nm-node CMOSenhancement by synchronous optimization of strain-engineered channeland external parasitic resistances,” IEEE Trans. Electron Devices, vol. 55,no. 5, pp. 1259–1264, May 2008.

[7] A. Firrincieli, K. Martens, R. Rooyackers, B. Vincent, E. Rosseel,E. Simoen, J. Geypen, H. Bender, C. Claeys, and J. A. Kittl, “Study ofohmic contacts to n-type Ge: Snowplow and laser activation,” Appl. Phys.Lett., vol. 99, no. 24, pp. 242 104-1–242 104-3, Dec. 12, 2011.

[8] Q. T. Zhao, U. Breuer, S. Lenk, and S. Mantl, “Segregation of ion im-planted sulfur in Si(100) after annealing and nickel silicidation,” J. Appl.Phys., vol. 102, no. 2, pp. 023522-1–023522-4, Jul. 15, 2007.

[9] E. Alptekin, M. C. Ozturk, and V. Misra, “Tuning of the platinum silicideSchottky barrier height on n-type silicon by sulfur segregation,” IEEEElectron Device Lett., vol. 30, no. 4, pp. 331–333, Apr. 2009.

[10] E. Alptekin and M. C. Ozturk, “ NixPt1−xSi/n-Si contacts with sub-0.1 eV effective Schottky barrier heights obtained by sulfur segregation,”Microelectron. Eng., vol. 87, no. 11, pp. 2358–2360, Nov. 2010.

[11] M. Sinha, E. F. Chor, and Y. C. Yeo, “Nickel-silicide contact technol-ogy with dual near-band-edge barrier heights and integration in CMOSFinFETs with single mask,” IEEE Electron Device Lett., vol. 31, no. 9,pp. 918–920, Sep. 2010.

[12] Q. T. Zhao, U. Breuer, E. Rije, S. Lenk, and S. Mant, “Tuning of NiSi/SiSchottky barrier heights by sulfur segregation during Ni silicidation,”Appl. Phys. Lett., vol. 86, no. 6, pp. 062108-1–062108-3, Feb. 7, 2005.

[13] R. T. P. Lee, A. E. J. Lim, K. M. Tan, T. Y. Liow, D. Z. Chi, andY. C. Yeo, “Sulfur-induced PtSi:C/Si:C Schottky barrier height loweringfor realizing N-channel FinFETs with reduced external resistance,” IEEEElectron Device Lett., vol. 30, no. 5, pp. 472–474, May 2009.

[14] S. M. Koh, G. S. Samudra, and Y. C. Yeo, “Contact technology forstrained nFinFETs with silicon–carbon source/drain stressors featuringsulfur implant and segregation,” IEEE Trans. Electron Devices, vol. 59,no. 4, pp. 1046–1055, Apr. 2012.

[15] H. S. Wong, L. Chan, G. Samudra, and Y. C. Yeo, “Effective Schottkybarrier height reduction using sulfur or selenium at the NiSi/n-Si(100)interface for low resistance contacts,” IEEE Electron Device Lett., vol. 28,no. 12, pp. 1102–1104, Dec. 2007.

[16] H. S. Wong, K. W. Ang, L. Chan, G. Samudra, and Y. C. Yeo,“Contact resistance reduction technology using selenium segregation forN-MOSFETs with silicon–carbon source/drain,” IEEE Trans. ElectronDevices, vol. 56, no. 5, pp. 1128–1134, May 2009.

[17] H. S. Wong, L. Chan, G. Samudra, and Y. C. Yeo, “Low Schottky barrierheight for silicides on n-type Si (100) by interfacial selenium segrega-tion during silicidation,” Appl. Phys. Lett., vol. 93, no. 7, pp. 072103-1–072103-3, Aug. 18, 2008.

[18] M. Sinha, R. T. P. Lee, A. Lohani, S. Mhaisalkar, E. F. Chor, andY. C. Yeo, “Achieving sub-0.1 eV hole Schottky barrier height for NiSiGeon SiGe by aluminum segregation,” J. Electrochem. Soc., vol. 156, no. 4,pp. H233–H238, 2009.

[19] M. Sinha, R. T. P. Lee, E. F. Chor, and Y. C. Yeo, “Contact resistance re-duction technology using aluminum implant and segregation for strainedp-FinFETs with silicon–germanium source/drain,” IEEE Trans. ElectronDevices, vol. 57, no. 6, pp. 1279–1286, Jun. 2010.

[20] S. M. Koh, X. C. Wang, T. Thanigaivelan, T. Henry, Y. Erokhin,G. S. Samudra, and Y. C. Yeo, “Schottky barrier height tuning of sili-cides on p-type Si (100) by aluminum implantation and pulsed excimerlaser anneal,” J. Appl. Phys., vol. 110, no. 7, pp. 073703-1–073703-6,Oct. 1, 2011.

[21] M. Sinha, E. F. Chor, and Y. C. Yeo, “Tuning the Schottky barrier height ofnickel silicide on p-silicon by aluminum segregation,” Appl. Phys. Lett.,vol. 92, no. 22, pp. 222114-1–222114-3, Jun. 2, 2008.

[22] M. Sinha, R. T. P. Lee, K. M. Tan, G. Q. Lo, E. F. Chor, and Y. C. Yeo,“Novel aluminum segregation at NiSi/ p(+)-Si source/drain contact fordrive current enhancement in p-channel FinFETs,” IEEE Electron DeviceLett., vol. 30, no. 1, pp. 85–87, Jan. 2009.

[23] Y. Tong, S.-M. Koh, Q. Zhou, A. Y. Du, and Y.-C. Yeo, “Schottky barriertuning at NiSi/Si interface using pre-silicide aluminum and sulfur co-implant,” in Proc. 10th Int. Conf. Solid-State Integr. Circuit Technol.,Shanghai, China, Nov. 1–4, 2010, pp. 1021–1023.

[24] Y. Tong, Q. Zhou, L. H. Chua, T. Thanigaivelan, T. Henry, andY. C. Yeo, “Impact of a germanium and carbon preamorphization implanton the electrical characteristics of NiSi/Si contacts with a presilicide sulfurimplant,” IEEE Electron Device Lett., vol. 32, no. 12, pp. 1734–1736,Dec. 2011.

[25] S. M. Koh, E. Y. J. Kong, B. Liu, C. M. Ng, G. S. Samudra, andY. C. Yeo, “Contact-resistance reduction for strained n-FinFETs withsilicon–carbon source/drain and platinum-based silicide contacts featur-ing tellurium implantation and segregation,” IEEE Trans. Electron De-vices, vol. 58, no. 11, pp. 3852–3862, Nov. 2011.

[26] S.-M. Koh, Y. Ding, C. Guo, K.-C. Leong, G. S. Samudra, andY.-C. Yeo, “Novel tellurium co-implantation and segregation for effec-tive source/drain contact resistance reduction and gate work functionmodulation in n-FinFETs,” in VLSI Symp. Tech. Dig., Jun. 14–16, 2011,pp. 86–87.

[27] E. Alptekin and M. C. Ozturk, “Tuning of the nickel silicide Schottkybarrier height on p-type silicon by indium implantation,” IEEE ElectronDevice Lett., vol. 30, no. 12, pp. 1272–1274, Dec. 2009.

[28] M. Koike, Y. Kamimuta, and T. Tezuka, “Modulation of NiGe/Ge contactresistance by S and P co-introduction,” Appl. Phys. Exp., vol. 4, no. 2,pp. 021301-1–021301-3, Feb. 2011.

[29] K. Ikeda, Y. Yamashita, N. Sugiyama, N. Taoka, and S. Takagi, “Modu-lation of NiGe/Ge Schottky barrier height by sulfur segregation duringNi germanidation,” Appl. Phys. Lett., vol. 88, no. 15, pp. 152115-1–152115-3, Apr. 10, 2006.

[30] A. Dimoulas, A. Toriumi, and S. E. Mohney, “Source and drain contactsfor germanium and III–V FETs for digital logic,” MRS Bull., vol. 34, no. 7,pp. 522–529, Jul. 2009.

[31] K. W. Ang, M. B. Yu, S. Y. Zhu, K. T. Chua, G. Q. Lo, and D. L. Kwong,“Novel NiGe MSM photodetector featuring asymmetrical Schottky barri-ers using sulfur co-implantation and segregation,” IEEE Electron DeviceLett., vol. 29, no. 7, pp. 708–710, Jul. 2008.

[32] Y. Tong, B. Liu, P. S. Y. Lim, and Y. C. Yeo, “Selenium segregation foreffective Schottky barrier height reduction in NiGe/n-Ge contacts,” IEEEElectron Device Lett., vol. 33, no. 6, pp. 773–775, Jun. 2012.

[33] S. J. Su, W. Wang, B. W. Cheng, G. Z. Zhang, W. X. Hu, C. L. Xue,Y. H. Zuo, and Q. M. Wang, “Epitaxial growth and thermal stability ofGe(1-x)Sn(x) alloys on Ge-buffered Si(001) substrates,” J. Cryst. Growth,vol. 317, no. 1, pp. 43–46, Feb. 15, 2011.

[34] L. Wang, G. Han, S. Su, Q. Zhou, Y. Yang, P. Guo, W. Wang, Y. Tong,P. S. Y. Lim, C. Xue, Q. Wang, B. Cheng, and Y.-C. Yeo, “Metalstanogermanide contacts with enhanced thermal stability for high mobilitygermanium–tin field-effect transistor,” in VLSI Symp. Tech. Dig., Hsinchu,Taiwan, Apr. 23–25, 2012, pp. 1–2.

[35] D. Connelly and P. Clifton, “Comments on “Effective modulation ofNi silicide Schottky barrier height using chlorine ion implantation andsegregation”,” IEEE Electron Device Lett., vol. 31, no. 5, pp. 417–418,May 2010.

[36] E. Dubois and G. Larrieu, “Measurement of low Schottky barrier heightsapplied to metallic source/drain metal–oxide–semiconductor field effecttransistors,” J. Appl. Phys., vol. 96, no. 1, pp. 729–737, Jul. 1, 2004.

[37] J. Chan, N. Y. Martinez, J. J. D. Fitzgerald, A. V. Walker, R. A. Chapman,D. Riley, A. Jain, C. L. Hinkle, and E. M. Vogel, “Extraction of correctSchottky barrier height of sulfur implanted NiSi/n-Si junctions: Junctiondoping rather than barrier height lowering,” Appl. Phys. Lett., vol. 99,no. 1, pp. 012114-1–012114-3, Jul. 4, 2011.

[38] J. Luo, Z. J. Qiu, D. W. Zhang, P. E. Hellstrom, M. Ostling, andS. L. Zhang, “Effects of carbon on Schottky barrier heights of NiSimodified by dopant segregation,” IEEE Electron Device Lett., vol. 30,no. 6, pp. 608–610, Jun. 2009.

[39] J. Osvald, “Comment on “Negative Schottky barrier between titanium andn-type Si(001) for low-resistance ohmic contacts”,” Solid State Electron.,vol. 48, no. 12, pp. 2347–2349, Dec. 2004.

[40] M. Tao and J. Zhu, “Response to “Comment on “Negative Schottky barrierbetween titanium and n-type Si(001) for low-resistance ohmic contacts”,”Solid State Electron., vol. 48, no. 12, pp. 2351–2352, Dec. 2004.

[41] D. K. Schroder, Semiconductor Material and Device Characterization,3rd ed. New York: Wiley, 2006.

[42] S. M. Sze, Physics of Semiconductor Devices, 2nd ed. New York: Wiley,1981.

[43] A. V. Thathachary, K. N. Bhat, N. Bhat, and M. S. Hegde, “Fermi level de-pinning at the germanium Schottky interface through sulfur passivation,”Appl. Phys. Lett., vol. 96, no. 15, pp. 152108-1–152108-3, Apr. 2010.

[44] I. Ok, C. D. Young, W. Y. Loh, T. Ngai, S. Lian, J. Oh, M. P. Rodgers,S. Bennett, H. O. Stamper, D. L. Franca, S. Lin, K. Akarvardar, C. Smith,C. Hobbs, P. Kirsch, and R. Jammy, “Enhanced performance in SOIFinFETs with low series resistance by aluminum implant as a solutionbeyond 22 nm node,” in VLSI Symp. Tech. Dig., 2010, pp. 17–18.

[45] P. S. Y. Lim, D. Zhi Chi, P. Chong Lim, and Y.-C. Yeo, “Modulation ofeffective Schottky barrier height of nickel silicide on silicon using pre-silicide ammonium sulfide treatment,” J. Appl. Phys., vol. 111, no. 7,pp. 073705-1–073705-5, Apr. 2012.

Page 7: $\hbox{Ni}(\hbox{Ge}_{1 - x}\hbox{Sn}_{x})$ Ohmic Contact Formation on N-Type $\hbox{Ge}_{1 - x}\hbox{Sn}_{x}$  Using Selenium or Sulfur Implant and Segregation

752 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 2, FEBRUARY 2013

[46] S. M. Sze and J. C. Irvin, “Resistivity mobility and impurity levels inGaAs Ge and Si at 300 degrees K,” Solid State Electron., vol. 11, no. 6,pp. 599–602, Jun. 1968.

[47] Medici Version A-2007.12, Dec. 2007.[48] V. R. D’Costa, C. S. Cook, A. G. Birdwell, C. L. Littler, M. Canonico,

S. Zollner, J. Kouvetakis, and J. Menendez, “Optical critical points of thin-film Ge1−ySny alloys: A comparative Ge1−ySny/Ge1−xSix study,”Phys. Rev. B, Condens. Matter, vol. 73, no. 12, pp. 125207-1–125207-16,Mar. 2006.

[49] K. L. Low, Y. Yang, G. Han, W. Fan, and Y.-C. Yeo, “Electronic bandstructure and effective mass parameters of GeSn alloys,” J. Appl. Phys.,vol. 112, no. 10, pp. 103 715-1–103 715-9, Nov. 2012.

[50] J. Menendez and J. Kouvetakis, “Type-I Ge/Ge1−x−ySixSny strained-layer heterostructures with a direct Ge bandgap,” Appl. Phys. Lett.,vol. 85, no. 7, pp. 1175–1177, Aug. 2004.

Yi Tong received the M.Eng. degree from NationalUniversity of Singapore (NUS), Singapore. He iscurrently working toward the Ph.D. degree at NUS.

Genquan Han received the Ph.D. degree from theInstitute of Semiconductors, Chinese Academy ofSciences, Beijing, China. He is currently with Na-tional University of Singapore, Singapore.

Bin Liu received the B.Eng. degree in electricalengineering from National University of Singapore(NUS), Singapore, in 2008. He is currently workingtoward the Ph.D. degree at NUS.

Yue Yang received the B.Eng. degree in electricalengineering from National University of Singapore(NUS), Singapore, in 2008. She is currently workingtoward the Ph.D. degree at NUS.

Lanxiang Wang received the B.S. degree fromXi’an Jiaotong University, Xi’an, China. He is cur-rently working toward the Ph.D. degree in electri-cal engineering at National University of Singapore,Singapore.

Wei Wang received the Ph.D. degree from theInstitute of Semiconductors, Chinese Academy ofSciences, Beijing, China, in 2011. He is cur-rently with the Department of Electrical and Com-puter Engineering, National University of Singapore,Singapore.

Yee-Chia Yeo (S’98–M’02) received the B.Eng.(first class honors) and M.Eng. degrees from Na-tional University of Singapore (NUS), Singapore,and the M.S. and Ph.D. degrees from the Universityof California, Berkeley. He is currently with NUS.