Upload
others
View
14
Download
0
Embed Size (px)
Citation preview
Source Workshop, Prague, November 7th 2018,
EUV Source for Lithography:
Readiness for HVM and Outlook for
Increase in Power and Availability
Igor Fomenkov
ASML Fellow
• Background and History
• EUV Lithography with NXE:3400B
• Principles of EUV Generation
• EUV Source: Architecture
• EUV Sources in the Field
• Source Power Outlook
• Summary
OutlinePublic
Slide 2
Why EUV? - Resolution in Optical LithographyPublic
Slide 3
Critical Dimension:
𝐶𝐷 = 𝑘1 ×
𝑁𝐴
Depth of focus:
𝐷𝑂𝐹 = 𝑘2 ×
𝑁𝐴2
k: process parameter
NA: numerical aperture
: wavelength of light
KrF-Laser: 248nm
ArF-Laser: 193 nm
ArF-Laser (immersion): 193 nm
EUV sources: 13.5 nm
EUV sourceWafer stage
Reticle stage
theoretical limit (air): NA=1
practical limit: NA=0.9
theoretical limit (immersion):NA ≈ n (~1.7)
k1 is process parameter
traditionally: >0.75
typically: 0.3 – 0.4
theoretical limit: 0.25
Slide 4
Public
EUV development has progressed over 30 yearsfrom NGL to HVM insertion
’84
1st lithography
(LLNL, Bell Labs,
Japan)
USA
40 nm hp
70 nm
L&S
Japan
80 nm
160 nm
NL
28 nm Lines and spaces
USA
5 mm
ASML starts
EUVL research
program
ASML ships 1st
pre-production NA
0.25 system
NXE:3100
ASML ships 1st
NA 0.33 system
NXE:3300B
NL
13 nm L/S
ASML ships 1st
HVM NA0.33 system
NXE:3400B
NL
7 nm and 5 nm
node structures
’85 ’86 ’87 ’88 ’89 ’90 ’91 ’92 ’93 ’94 ’95 ’96 ’97 ’98 ’99 ’00 ’01 ’02 ’03 ’04 ’05 ’06 ’07 ’08 ’09 ’10 ’11 ’12 ‘13 ’14 ’15 ’16 ’17 ’18
NL
19 nm Lines and spaces
ASML ships
2 alpha demo tools:
IMEC (Belgium) and
CNSE (USA)
USANL NL NL
1
10
100
1,000
1985 1990 1995 2000 2005 2010 2015 2020 2025
High-NA EUV targets <7nm resolutionRelative improvement:5X over ArFi, 40% over 0.33 NA EUV
Year of introduction
Slide 5
Public
13.5, EUV
193, ArF
248, KrF
365, i-line
436, g-line
>10x
NA+67%
Reso
luti
on
, n
m
= k
1x W
avele
ng
th / N
A
Wavelength, nm
>2021
i-Line 365 nm
KrF 248 nm
ArFi 193 nm
ArF 193 nm
EUV 13.5 nm
Production systems
Development systems
XT:1400
NXT:1950i
NXE:3400
High-NA
g-Line 436 nm
NA+45%
𝐶𝐷 = 𝑘1λ
𝑁𝐴
Slide 6
Public
TWINSCAN EUV Product RoadmapSupporting customer roadmaps well into the next decade
Study
Development
Released
Current
Product
status Definition
Next1.1nm|≥ 170 wph
NXE:3400C1.7nm|155wph
+PEP3
145+OFP2
1.7nm
NXE:3400B2.0nm|125wph
High NA1.1nm|185wph
NXE:3350B2.5|125wph
EUV
0.55 NA
8nm
0.33 NA
13nm1
ProductMatched Overlay, Throughput
2016 2017 2018 2019 2020 2021 2022 2023 2024
3400B uptime improving to >90% for 2018/2019 HVM,
extending productivity to >150 W/hr @ 20 mJ/cm²
High-NA platform designs learning
from our 20-year EUV journey
High-NA Field and Mask Size productivityThroughput >185wph with Half Fields
Fast stages enable high throughput despite half fields
WS, RS, current
WS 2x, RS 4x
HF
FF
Slide 7
PublicTh
rou
ghp
ut
[30
0m
m/h
r]
Source Power/Dose [W/(mJ/cm2]
Throughput for various source powers and doses
0
20
40
60
80
100
120
140
160
180
200
0 5 10 15 20 25 30 35
500 Watt
30 mJ/cm2
0.33NA
High-NA
250 Watt
20 mJ/cm2
Acceleration of mask stage ~4x..
Acceleration of wafer stage ~2x..
Slide 8
Public
EUV HVM introduction targeted at 7nmInstalled base of EUV systems expected to ~double in 2018
HVM
NXE:33x0 and NXE:3400
Planned
Shipments
Installed Base
HVM rampR&D
42
3
10
30
3
79
12
40
’18
22
’17’13 ’16’14 ’15 ’19 ’20
18
EUV Lithography, NXE:3400B
Slide 9
Public
NXE:3400B: 13 nm resolution at full productivitySupporting 5 nm logic, <15nm DRAM requirements Slide 10
Public
New Flex-illuminator
outer sigma to 1.0
Inner sigma to 0.06
reduced PFR* (0.20)
Overlay set up
Set-up and modelling
improvements
Reticle Stage
Improved clamp flatness
for focus and overlay
Projection Optics
Continuously Improved
aberration performance
Wafer Stage
Flatter clamps, improved
dynamics and stability
125WPH
Reduced overhead
Improved source power
*PFR = pupil fill ratio
Overlay
Imaging/Focus
Productivity
Resolution 13 nm
Full wafer CDU < 1.1 nm
DCO < 1.4 nm
MMO < 2.0 nm
Focus control < 60 nm
Productivity ≥ 125 WPH
Slide 11
Public
NXE productivity above 140 wafers per hourNXE:3400B, 140 WPH at 246W
Throughput of 140 WPH achieved at 246W
Actual:
195W
Target:
205W
Overlay in spec at 125 WPH throughput~200W power at IF with proto version SIM
Throughput without pellicle
140
WPH
Throughput without pellicle125
WPH
Full field, 96 fields at 20 mJ/cm2
*Measured 116 WPH using pellicle with >83% transmission
without DGL membrane. Throughput with membrane is calculated.
**Improvement plan for pellicle transmission to 88% and DGL
membrane transmission to 90% included
Throughput with pellicle+DGLm
>100*
WPH
Full field, 96 fields at 20 mJ/cm2
Full field, 96 fields at 20 mJ/cm2
Target
Road-
map
125**
WPH
>150
WPHActual:
246W
Target:
250W
Actual:
246W
Target:
250W
Slide 12
Public
NXE productivity above 125 wafers per hourNXE:3400B, 140 WPH at 246W
NXE:3400B ATP test: 26x33mm2, 96 fields, 20mJ/cm2
140T
hro
ug
hp
ut
[Wafe
rs p
er
ho
ur]
110
100
90
80
70
60
50
40
30
20
10
02014Q1
2014Q2
2014Q3
2014Q4
2015Q3
2015Q4
2016Q2
2016Q4
2017Q1
NXE:3300Bat customers
NXE:3350BASML factory
NXE:3350Bat customers
130
120
2017Q3
NXE:3400BASML factory
2017Q3
NXE:3400Bat customers
2018Q1
NXE:3400BASML factory
(proto)
Slide 13
Public
>3.2M wafers exposed on NXE:3xx0B at customer sitesCurrently 34 systems running in the field. First system was shipped Q1 2013
Cumulative EUV wafer exposuresNXE:3xxx, Wafers
EUV AvailabilityUptime %
2016 2017 2018
0%
100%
Uptime
Planned upgrades
2011 2012 2013 2014 2015 2016 20182017
3.2M
1.1M
0.6M
2.0M
Slide 14
Public
Productivity increases via source availabilitySecured EUV power is matched with increasing availability
Productivity = Throughput(EUV Power) Availability
Source power
from 10 W to > 250 W
Drive laser power from 20 to 40 kW
Conversion efficiency (CE) from 2 to 6% (Sn droplet)
Dose overhead from 50 to 10%
Optical transmission
Source availability
Drive laser reliability
Droplet generator reliability & lifetime
Automation
Collector protection
EUV Power= (CO2 laser power CE transmission)*(1-dose overhead)
Raw EUV power
Slide 15
Two-fold approach to eliminate reticle front-side defectsPublic
1. Clean scanner
Reflected
illumination
EUV Reticle (13.5nm)
Reticle
2. EUV pellicle
particle
Pellicle
Without Pellicle With Pellicle
Slide 16
Public
0
20
40
60
80
100
120
Aug-16 Nov-16 Mar-17 Jun-17 Sep-17 Dec-17 Apr-18 Jul-18 Oct-18 Feb-19
Par
ticl
es a
dd
ed t
o r
etic
le f
ron
t-si
de
per
10
,00
0 w
afer
exp
osu
res
Reticle front-side adder performance
NXE:3350B NXE:3400B
Each data point represents between 1,000 and 10,000 wafer exposures in ASML factory or at a customer
HVM target
<1/10k
Improvements on hydrogen gas
curtain, parts cleanliness, in-situ
cleaning, factory way-of-working.
Continuous fine-tuning and
reduction of electrostatic effects
Improvement roadmap in place
to consistently meet HVM target.
Addressing particle generation,
release, and transport.
2016 20182017
Reticle front-side defectivity ~1/10k
Clean Scanner
Slide 17
EUV pellicle industrializationPublic
Pellicle Performance# defects, Max Power
83% transmissionTarget 90%
Pellicle MountingAutomated Equipment
Pellicle FilmEUV Transmission
Th
rou
gh
pu
t w
ith
pellic
le+
DG
Lm
>100 WPH
Targ
et
125 WPH
Actual: 246W
Target: 250W
Pellicle infrastructure in place and 100 WPH throughput achieved
Slide 18
DGL membrane as spectral filterLocated at Dynamic Gas Lock (DGL)
suppresses DUV and IR, plus removes outgassing risk to POB
Public
DGL membrane (~ 50 x 25 mm)
>100x DUV suppression
>4x IR suppression
Effective DUV and IR suppression
EUV: Principles of Generation
Slide 19
Public
Laser Produced Plasma Density and Temperature
Nishihara et al. (2008)
EUV
LPP
Ion density ~ 1017 – 1018 #/cm3
Temperature ~ 30 -100 eV
Slide 20
Public
Fundamentals: EUV Generation in LPPLaser produced plasma (LPP) as an EUV emitter Slide 21
Public
30 micron diameter tin droplet
Focused
Laser light
electrons
tin ions
“ejecta”microparticles
tin vapor
1. High power laser interacts with liquid tin producing a plasma.
2. Plasma is heated to high temperatures creating EUV radiation.
3. Radiation is collected and used to pattern wafers.
Tin Laser Produced Plasma
Image
EUV
EUV
EUV
EUV
Dense hot
Plasma
109
1010
1011
1012
0
1
2
3
4
5
6
7
8
9
10
CE
(%
)
Intensity (W/cm2 )
Tin target, 50 mm
10 ns isquare-top laser
CE calculated with
Gaussian 2% bandwidth
10.6 mm
5.0 mm
2.0 mm
1.064 mm
532 nm
355 nm
266 nm
Slide 22
Public
Modelled EUV CE of LPP Sn Plasma vs. Wavelength
EUV CE defined into 2% bandwidth, 2p sr solid angle
Simulation Assumptions:
• 1D modeling
• Sn flat target (50um thickness)
• Laser Pulse: 10ns duration (rectangular)
• Uniform radial distribution of intensity in beam spot
• Prizm Computational Sciences, Inc., 2005
Slide 23
Public
EUV Spectra of Laser Produced Sn Plasma
10 11 12 13 14 15 16 17 18 19 200.0
0.2
0.4
0.6
0.8
1.0
EUV spectrum
Inte
nsity (
arb
. u.)
Wavelength (nm)
EUV Spectrum and MLM Reflectivity
0
20
40
60
80
100
Reflectivity (
%)
MLM reflectivity
Peak of EUV spectrum matches the MoSi
multilayer reflectivity band at 13.5 nm
6 8 10 12 14 16 18 20 220
1
2
3
4
5
6
Sn, 355nm
Sn, 1064nm
EUV mirror
Sig
nal,
(a.u
.)
Wavelength, (nm)
EUV spectrum with CO2 Laser
at 10.6 mm
EUV spectrum with Nd:YAG Laser
at 1064 nm and 355 nm
Slide 24
Public
LPP Target Material and Laser Wavelength Options
CO2 Laser with Sn target was selected for industrialization in 2006
Plasma simulation capabilitiesMain-pulse modeling using HYDRA
Main-pulse
shape
1D simulations are fast and useful
for problems that require rapid
feedback and less accuracy
3D:
+ real asymmetric
profile
2D and 3D
simulations are run
for the full duration
of the Main pulse.
Results include
temperature,
electron density,
spectral emission,
etc.
Target
Sn target using a real
irradiance distribution
1D:
real pulse shape
2D:
+ symmeterized
beam profile
Electron density (top half) with laser light (bottom half)
500μm500μm500μm
50
0μ
m
Pow
er
(arb
. U
nits)
Time
Public
Slide 25
Simulation of the EUV source
The plasma code’s outputs
were processed to produce
synthetic source data. The
comparison to experiments
helps to validate the code and
understand it’s accuracy.
Measured Shadowgrams
Simulated Shadowgrams
Simulated EUV spectra
Emission anisotropy
Collector rim
Reflected laser modelingC
onvers
ion E
ffic
iency (
%)
Target Diameter (µm)
Flu
en
ce
(J/c
m2/S
/mm
)
Wavelength (nm)
Simulation
Conversion Efficiency
Slide 26
Public
EUV Source: Architecture
and Operation Principles
Slide 27
Public
Slide 28
Public
EUV Lithography System Schematic
Reticle-stage
Wafer stage
LPP SourceIntermediate focus
Illuminator
Projection optics
Collector
Drive Laser
Plasma
• Key factors for high source power are:High input CO2 laser power
High conversion efficiency (CO2 to EUV energy)
High collection efficiency (reflectivity and lifetime)
Advanced controls to minimize dose overhead
Vessel
With Collector, Droplet
Generator and Metrology
Focusing Optics
Ele
ctr
on
ics
Ele
ctr
on
icsControllers for Dose
and Pre-pulse
*∝EUV power
(source/scanner interface, [W]) CO2 power [W]
Conversion
Efficiency1 – Dose
Overhead *
Pre-PulseIs
ola
tor
PreAmp PASeed
op
tics
op
tics PAo
pti
cs
op
tics PAo
pti
cs
Power Amplifier
Beam Transport SystemFab Floor
Sub-Fab FloorMaster Oscillator
PA op
tics
Pre-pulse
seed laser
trigger control
Slide 29
Public
LPP: Master Oscillator Power Amplifier (MOPA)
Pre-Pulse Source Architecture
Slide 30
Public
EUV System overview
Scanner
VesselFinal
Focus
Assembly
Beam
Transport
System
Drive Laser
Ancillaries
Drive Laser
Common Housing[Power Amplifiers]
Slide 31
Public
Industrial high power CO2 laser High beam quality for gain extraction and EUV generation
▪ 4 cascaded power amplifiers (PAs) in HPAC
▪ Individually optimized geometry and settings
▪ Connected by relay optics
▪ Extensive metrology between amplifiers & at DL exit
PA0 PA1 PA2 PA3
HPSM
On-droplet
High Power Amplifiers
BTS
NXE:3XY0 EUV Source: Main modulesPopulated vacuum vessel with tin droplet generator and collector Slide 32
Public
Droplet GeneratorDroplet Catcher
EUV Collector
Intermediate Focus
Slide 33
Public
EUV Source: MOPA + Pre-Pulse
Pre-pulse transforms tin droplet into
“pancake/mist” that matches
CO2 main pulse beam profile
MOPA = Master Oscillator Power Amplifier
PP = Pre-Pulse
MP = Main Pulse
Droplet (<30um)CO2 beam
Pre-pulse
CO2 beam
Main pulseExpanded target matched to main pulse
6% conversion efficiency achieved
Pre-Pulse
Droplet stream
~80 m/s
Dropletz (mm)
Pre-pulse laser
Expands the droplet and prepares the Sn target
Main-pulse laser
Heats and ionizes the Sn target to produce EUV light
Movie: Backlight shadowgrams
from a 3300 MOPA+PP source
Main Pulse
Slide 34
Public
Droplet Generator: Principle of Operation
• Tin is loaded in a vessel & heated above melting point
• Pressure applied by an inert gas
• Tin flows through a filter prior to the nozzle
• Tin jet is modulated by mechanical vibrations
Nozzle
Filter
ModulatorGas
Sn
0 5 10 15 20 25 30-10
-5
0
5
10
Dro
ple
t p
ositio
n, mm
Time, sec
140 mm 50 mm 30 mmPressure: 1005 psi Frequency: 30 kHz Diameter: 37 µm Distance: 1357 µm Velocity: 40.7 m/s
Pressure: 1025 psi Frequency: 50 kHz Diameter: 31 µm Distance: 821 µm Velocity: 41.1 m/s
Pressure: 1025 psi Frequency: 500 kHz Diameter: 14 µm Distance: 82 µm Velocity: 40.8 m/s
Pressure: 1005 psi Frequency: 1706 kHz Diameter: 9 µm Distance: 24 µm Velocity: 41.1 m/s
Fig. 1. Images of tin droplets obtained with a 5.5 μm nozzle. The images on the left were obtained in
frequency modulation regime; the image on the right – with a simple sine wave signal. The images
were taken at 300 mm distance from the nozzle.
Short term droplet position stability σ~1mm
16 mm
Slide 35
Public
Droplet Generator: Principle of Operation
Multiple small droplets coalesce together to form larger droplets at larger separation distance
Large separation between the droplets by special modulation
Slide 36
Public
Forces on Droplets during EUV Generation
High EUV power at high repetition rates drives requirements for
higher speed droplets with large space between droplets
Slide 37
Public
Droplet Generator: Principle of OperationLarge separation between the droplets by special modulation
Tin droplets at 80 kHz and at different applied pressures.
Images taken at a distance of 200 mm from the nozzle
1.5 mm
Increasing
Droplet Generator
Pressure
Slide 38
Public
Increase of droplet spacingLarger separation between the droplets needed for higher pulse energies
Droplet spacing of 1.5 mm demonstrated at 80 Khz
30 40 50 60 70 80 90 100 110 1200.0
0.5
1.0
1.5
2.0
2.5
3.0
3.5
4.0
Droplet Spacing vs Frequency vs Pressure
14 MPa
28 MPa
42 MPa
56 MPa
70 MPa
84 MPa
140 MPa
Dro
ple
t-to
-dro
ple
t dis
tance
, m
m
Frequency, kHz
Slide 39
Public
Collector Protection by Hydrogen Flow
Sn droplet /
plasma
H2 flow
Reaction of H radicals with Sn
to form SnH4, which can be
pumped away.Sn (s) + 4H (g) SnH4 (g)
• Hydrogen buffer gas (pressure
~100Pa) causes deceleration of ions
• Hydrogen flow away from collector
reduces atomic tin deposition rate
Laser beam
IntermediateFocus
Sn
catcher
DG
EUV collector
• Vessel with vacuum pumping to
remove hot gas and tin vapor
• Internal hardware to collect micro
particles
Slide 40
Public
EUV Collector: Normal Incidence
• Ellipsoidal design
• Plasma at first focus
• Power delivered to exposure tool at second focus (intermediate focus)
• Wavelength matching across the entire collection area
Normal Incidence Graded
Multilayer Coated Collector
EUV Sources in the Field
Slide 41
Public
>250W is now demonstrated,
Shipping started in the end of 2017
Progress for in EUV power: 250W
∝EUV power
(source/scanner interface, [W]) CO2 power [W]
Conversion
Efficiency*1 – Dose
Overhead *
Increase average and peak laser power
Enhanced isolation technology
Advanced target formation technology
Improved dose-control technique
Public
Slide 42
System
Integration
08 09 10 11 12 13 14 15 16 17 18
Year
Do
se
co
ntr
olle
d E
UV
po
wer
(W)
0
25
50
75
100
125
150
175
200
225
250
Research
Shipped
Shipment in 2017
Slide 43
Public
250W demonstrated multiple times in 2017Including industrialized version of SIM, field upgrades in progress
Proto 1 Pre-Pilot Industrialized module
May 2017
@ 250W
July 2017
@ 250W / 125wph
December 2017
@ 250W
SIM – Seed Isolation Module
Slide 44
Public
EUV Source operation at 250W with 99.90% fields meeting dose spec
98.0
98.5
99.0
99.5
100.0
0
50
100
150
200
250
May 2018 June 2018 July 2018 August 2018
Source
power
(W)
Die
yield
(%)
Slide 45
Public
Performance at customers sites at 250W On multiple systems
0
250
0 7 14 21 28 35
Pow
er
[W]
Time [Days]
Operational power performance
System A System B System C
0
100
0 7 14 21 28 35
Do
se
pe
rfo
rma
nc
e
Time [Days]
Operational dose performance
Clean collector power
• Source Power >250W
• Power fluctuations corrected by
scanner dose control
• At 250W, Average dose
performance well above >99.99%
Slide 46
Public
NXE:3400B productivity of average >1000 WPDWafers per day for 6 consecutive weeks
0
200
400
600
800
1000
1200
1400
1600
Ave
rage W
afe
rs P
er
Da
y
At a customer site
wk1 wk2 wk3 wk4 wk5 wk6
Slide 47
Public
Dose Performance and Slit uniformity show stable resultsSupporting requirements for 5 nm node CD control
0.0
0.5
1.0
1.5
2.0
2.5
3.0
A B C D E F G H I J K L M N O P Q R S T U V W
NXE:3400B Dose System Performance, DSP
Slit uniformity
Do
se p
erf
orm
an
ce [
%]
Slide 48
Public
NXE:3400B availability plan to 88% availability EoY ‘18Roadmap in place to 95% availability for 95% of fleet
NXE:3400B availability, in final configuration, climbing steadily from 40% end of Q1 to 70-80% worldwide (4 weeks average), variation reduction is key
System C
-0.35 %/Gp
System B
-0.39 %/Gp
System A
-0.26 %/Gp
Slide 49
Public
Collector lifetime improvement at 250 WLonger collector lifetime confirmed at full power
Far Field EUV intensity (image of the collector)
Relative Collector reflectivity
Collector reflectivity loss over time reduced to <0.3%/Gp
EUV Source Power Outlook
Public
Slide 50
Slide 51
Public
EUV Source operation at 250W with 99.90% fields meeting dose spec
Operation Parameters
Repetition Rate 50kHz
MP power on droplet 21.5kW
Conversion Efficiency 6.0%
Collector Reflectivity 41%
Dose Margin 10%
EUV Power 250 W
Open Loop Performance
BaselineImproved Isolation
Slide 52
Public
Hydrogen gas central to tin management strategy
Hydrogen performs well
for all these tasks!
Requirements for buffer gas:
➢ Stopping fast ions (with high
EUV transparency)
➢Heat transport
➢ Sn etching capability
High heat capacity High thermal conductivity
High EUV transparency
Slide 53
Public
Debris in the tin LPP EUV source
Primary debris – directly from plasma and before
collision with any surface:
➢ Heat and momentum transfer into surrounding gas
o Kinetic energy and momentum of stopped ions
o Absorbed plasma radiation
➢ Sn flux onto collector
o Diffusion of stopped ions
o Sn vapor
o Sn micro-particles
Sn
Sn
Sn vapor (diffusion debris)
Sn particles
Sn+ Fast Sn ions (line of sight debris)
Slide 54
Public
3D measurement of fast tin ion distributions Faraday cups measure tin ion distributions
LASER
Ion measurements inform H2 flow requirements for source
Target direction
Laser direction
FC direction
Faraday cup
Red = moreBlue = less
Slide 55
Public
Tin ion distributions
Data are used for optimization of H2 flow in the source
Slide 56
Public
Measurement of fast tin ion and radiation distributions Multiple sensors on a rotating frame
Sensors
• Faraday Cups: ion energy and charge distributions
• CO2 PEMs: scattered infrared radiation
• EUV PDs: EUV emission and anisotropy
Applications
• Input to Plasma-Gas Interaction /
Computational Fluid Dynamics model
• Evaluation of collector protection capability
• Improvement of Conversion Efficiency
Slide 57
Public
Improved debris mitigation At 250 watt of EUV power
Data from the EUV source development system
0
0.2
0.4
0.6
0.8
1
1.2
0 10 20 30 40
Co
llec
tor
refl
ec
tiv
ity [
arb
.]
Pulse count [Billion Pulses]
Slide 58
Public
450W in-burst EUV power demonstrationDemonstrated IF EUV pulse energy of 9 mJ at 50 kHz
0 2 4 6 8 10 12 14 16 18 20 22 240
1
2
3
4
5
6
7
8
9
10
S3 slope = 0.4 mJ/MW
MP
EU
V a
t IF
(m
J,
S3
)
Main pulse peak power at plasma (MW)
450W
EUV data over 8 EUV LPP source architectures
410W
Open loop, EUV pulse energy histograms
Open loop, 15 ms Bursts, 3% duty cycleOn the development system
Slide 59
Public
Summary: EUV readiness for volume manufacturing34 NXE:3XY0B systems operational at customers
- Dose-controlled power of 250W on multiple tools at customers
Progress in EUV power scaling for HVM
- Dose-controlled power of 250W on multiple tools at customers
- Collector lifetime ~ 150 Billion Pulses in the field
CO2 development supports EUV power scaling
- Clean (spatial and temporal) amplification of short CO2 laser pulse
- High power seed system enables CO2 laser power scaling
Droplet Generator with improved lifetime and reliability
- >700 hour average runtime in the field
- >3X reduction of maintenance time
Path towards 500W EUV demonstrated in research
- CE is up to ~ 6 %
- In-burst EUV power is up to 450W
Slide 60
Public
Acknowledgements:
Alex Schafgans, Slava Rokitski, Jayson Stewart, Andrew LaForge, Alex Ershov, Michael Purvis, Yezheng Tao, Mike Vargas, Jonathan Grava, Palash Das, Lukasz Urbanski, Rob Rafac, Joshua Lukens, Chirag Rajyaguru, Georgiy Vaschenko, Mathew Abraham, David Brandt, Daniel Brown and many others.
ASML US LP, 17075 Thornmint Ct. San Diego, CA 92127-2413, USA
Marcel Mastenbroek, Jan van Schoot, Roderik van Es, Mark van de Kerkhof, Leon Levasier, Daniel Smith, Uwe Stamm, Sjoerd Lok, Arthur Minnaert, Martijn van Noordenburg, Jowan Jacobs, Joerg Mallmann, David Ockwell, Henk Meijer, Judon Stoeldraijer, Christian Wagner, Carmen Zoldesi, Eelco van Setten, Jo Finders, Koen de Peuter, Chris de Ruijter, Milos Popadic, Roger Huang, Marcel Beckers, Rolf Beijsens, Kars Troost, Andre Engelen, Dinesh Kanawade, Arthur Minnaert, Niclas Mika, Hans Meiling, Jos Benschop, Vadim Banine and many others.
ASML Netherlands B.V., De Run 6501, 5504 DR Veldhoven, The Netherlands
Slide 61
Public
Acknowledgements: