4
Design Methodology for Global Resonant H-Tree Clock Distribution Networks Jonathan Rosenfeld and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester Rochester, New York 14627-0231 Abstract - Design guidelines for resonant H-tree clock A comprehensive, constraint free, and robust design meth- distribution networks are presented in this paper. A dis- odology for resonant H-tree clock distribution networks is tributed model of a two level resonant H-tree is presented, presented in this paper. The methodology is based on the supporting the design of low power, low skew, and low transfer function of a two level H-tree, defined here as a sec- jitter resonant H-tree clock distribution networks. Excel- tor, such that the fundamental harmonic of the input square lent agreement is shown between the proposed model and wave is transferred to the output. The output signal at the leaf SpectraS simulations. A case study is presented that dem- nodes exhibits a sinusoidal behavior. Inverters are placed at onstrates the design of a two level resonant H-tree net- the leaf nodes to convert the sinusoidal waveform into a quasi- work, distributing a5 GHz clock signal in a TSMC 0.18 square waveform. On-chip spiral inductors and capacitors are gm CMOS technology. The design methodology enables used to resonate the clock signal around the harmonic fre- tradeoffs among design variables to be examined, such as quency. the operating frequency, size of the on-chip inductors and This paper is organized as follows: the background and capacitors, the output resistance of the driving buffer, and problem formulation of the resonant clock network are pre- the interconnect width. sented in section II. In section III, design guidelines are pro- vided. In section IV, a case study is presented. Finally, some Index Terms- Resonance, clock distribution networks, on- conclusions are offered in section V. chip inductors and capacitors, H-tree sector. II. BACKGROUND AND PROBLEM FORMULATION I. INTRODUCTION The concept of exploiting resonant transmission lines was CLOCK signals in digital systems are simultaneously dis- first introduced by Chi in 1994 [4]. A global resonant clock tributed to physically remote locations across an inte- distribution network was later introduced in 2003 by Chan et grated circuit (IC) [1-3]. A clock signal is usually distributed al. [7]. In this circuit, a set of discrete on-chip spiral inductors from a common global source through metal interconnect net- and capacitors is attached to a traditional H-tree structure, as works and clock drivers, dissipating power. The capacitive depicted in Figure 1. On-chip spiral inductors are connected at load of the clock distribution network can be significant, re- four points in the tree, while decoupling capacitors are at- quiring a large numbers of buffers distributed throughout the tached to the other side of the spiral inductors. network. All of the stored energy in the capacitor is lost as 3 heat. To dissipate less power, clock generation and distribution 2 4 2 . . . s .,, . , ,^ ,^ . . , q Sl ~~~~3 4 3 networks based on LC oscillators in the form of transmission 4 line systems have been considered. In salphasic clock distribu- tion networks [4], a sinusoidal standing wave is established 1 2 within a transmission line. Coupled standing oscillators of this Decouplin 0 ca~~~~~~~~~~pacitor type are used in [5] to distribute a high frequency clock signal. 2 A similar approach uses traveling waves in coupled transmis- DrSpiiv sion line loops [6] driven by distributed cross coupled invert- inductor ers. In [8,9], a resonant global clock distribution network is described where on-chip spiral inductors and decoupling ca- 4 4 4 pacitors are connected to traditional clock trees. 3 _ 3 3 3 Figure 1. H-tree sector with on-chip inductors and capacitors This research is supported in part by the Semiconductor Research Corpo- ration under Contract No. 2003-TJ-1068 and 2004-TJ-1207, the National The capacitance of the clock distribution network resonates Science Foundation under Contract No. CCR-O304574, the Fulbright Pro- with the inductance, while the on-chip capacitors establish a gram under Grant No. 87481764, a grant from the New York State Office of mdri Cvlaeaon hc h rdoclae.Ti Science, Technology & Academic Research to the Center for Advanced Technology in Electronic Imaging Systems, and by grants from Intel Corpo- approach lowers the power consumption, since the energy ration, Eastman Kodak Company, and Manhattan Routing. resonates between the electric and magnetic fields rather than dissipated as heat. Consequently, the number of gain stages is 0-7803-9390-2/06/$20.00 ©)2006 IEEE 2073 ISCAS 2006

DesignMethodology for Global Resonant Clock Distribution …€¦ ·  · 2007-11-26supporting the design of low power, low skew, and low transfer function ofa two level H-tree,

Embed Size (px)

Citation preview

Design Methodology for Global Resonant H-TreeClock Distribution Networks

Jonathan Rosenfeld and Eby G. Friedman

Department of Electrical and Computer EngineeringUniversity of Rochester

Rochester, New York 14627-0231

Abstract - Design guidelines for resonant H-tree clock A comprehensive, constraint free, and robust design meth-distribution networks are presented in this paper. A dis- odology for resonant H-tree clock distribution networks istributed model of a two level resonant H-tree is presented, presented in this paper. The methodology is based on thesupporting the design of low power, low skew, and low transfer function of a two level H-tree, defined here as a sec-jitter resonant H-tree clock distribution networks. Excel- tor, such that the fundamental harmonic of the input squarelent agreement is shown between the proposed model and wave is transferred to the output. The output signal at the leafSpectraS simulations. A case study is presented that dem- nodes exhibits a sinusoidal behavior. Inverters are placed atonstrates the design of a two level resonant H-tree net- the leafnodes to convert the sinusoidal waveform into a quasi-work, distributing a 5 GHz clock signal in a TSMC 0.18 square waveform. On-chip spiral inductors and capacitors aregm CMOS technology. The design methodology enables used to resonate the clock signal around the harmonic fre-tradeoffs among design variables to be examined, such as quency.the operating frequency, size of the on-chip inductors and This paper is organized as follows: the background andcapacitors, the output resistance of the driving buffer, and problem formulation of the resonant clock network are pre-the interconnect width. sented in section II. In section III, design guidelines are pro-

vided. In section IV, a case study is presented. Finally, someIndex Terms- Resonance, clock distribution networks, on- conclusions are offered in section V.

chip inductors and capacitors, H-tree sector.II. BACKGROUND AND PROBLEM FORMULATION

I. INTRODUCTION The concept of exploiting resonant transmission lines wasCLOCK signals in digital systems are simultaneously dis- first introduced by Chi in 1994 [4]. A global resonant clock

tributed to physically remote locations across an inte- distribution network was later introduced in 2003 by Chan etgrated circuit (IC) [1-3]. A clock signal is usually distributed al. [7]. In this circuit, a set of discrete on-chip spiral inductorsfrom a common global source through metal interconnect net- and capacitors is attached to a traditional H-tree structure, asworks and clock drivers, dissipating power. The capacitive depicted in Figure 1. On-chip spiral inductors are connected atload of the clock distribution network can be significant, re- four points in the tree, while decoupling capacitors are at-quiring a large numbers of buffers distributed throughout the tached to the other side of the spiral inductors.network. All of the stored energy in the capacitor is lost as 3heat.To dissipate less power, clock generation and distribution 2 4 2

. . . s.,, . , ,^ ,^ . . , q Sl ~~~~3 43

networks based on LC oscillators in the form of transmission 4line systems have been considered. In salphasic clock distribu-tion networks [4], a sinusoidal standing wave is established 1 2

within a transmission line. Coupled standing oscillators of this Decouplin0 ca~~~~~~~~~~pacitortype are used in [5] to distribute a high frequency clock signal. 2

A similar approach uses traveling waves in coupled transmis- DrSpiivsion line loops [6] driven by distributed cross coupled invert- inductorers. In [8,9], a resonant global clock distribution network isdescribed where on-chip spiral inductors and decoupling ca- 4 4 4pacitors are connected to traditional clock trees. 3

_3 3 3

Figure 1. H-tree sector with on-chip inductors and capacitorsThis research is supported in part by the Semiconductor Research Corpo-

ration under Contract No. 2003-TJ-1068 and 2004-TJ-1207, the National The capacitance of the clock distribution network resonatesScience Foundation under Contract No. CCR-O304574, the Fulbright Pro- with the inductance, while the on-chip capacitors establish agram under Grant No. 87481764, a grant from the New York State Office of mdri Cvlaeaon hc h rdoclae.TiScience, Technology & Academic Research to the Center for AdvancedTechnology in Electronic Imaging Systems, and by grants from Intel Corpo- approach lowers the power consumption, since the energyration, Eastman Kodak Company, and Manhattan Routing. resonates between the electric and magnetic fields rather than

dissipated as heat. Consequently, the number of gain stages is

0-7803-9390-2/06/$20.00 ©)2006 IEEE 2073 ISCAS 2006

reduced, resulting in further reductions in power consumption, where Mi (i = 1.4), Ms, and MI are the ABCD matrix of theskew, and jitter. four sections, the on-chip inductors and capacitors, and the

In this paper, a resonant sector (such as the network shown load, respectively.in Figure 1) is used as a building block, in a modular sense, to 2construct a much larger global clock distribution network. In L.. N

this example, the entire network is divided into sectors of 16 ,-_ Li c2leaves. Hence, the design flow is bottom to top, starting with 2the H-tree sectors at the leaf portion of the tree network and RL Nmoving up to the central sector. c2

The design methodology considers the physical geometryof the structure and the technology, and can be formulated as 0 2

H-Tree Sector= f(w,Il.,h.tfo,Cl ) Vi, (1) / 2where wi, li, and hi are the width, length, and thickness of each 1 1section of the H-tree sector, respectively, fo is the clock fre- C1 2 Nquency, and Cl is the capacitive load at each leaf node. The R2index i varies between one and four, representing each section c2of the H-tree sector (see Figure 1). The H-tree sector function Figure 2. Distributed RLC network representation of an H-treeis used to determine the value of the on-chip spiral inductors(considering the effective series resistance), capacitors, and 0 1 2 3 4driving buffer resistance that produces the minimum power L1/2 n L2/4 jy-XL /8 - L4/16consumption. AA 2 A P3i8 AA""v" 2C1 C2 8C3 1C4

Clock

III. DESIGN GUIDELINES FOR H-TREE SECTOR Rs/4 1C-4cd

A methodology for designing resonant H-tree clock distribu-tion networks is described in this section. In section III-A, adistributed model is presented for the H-tree sector. Applying Figure 3. Resonant H-tree network simplified to a distributed RLCthe proposed model and a graphical representation of the de- linesign space, the optimum value of the on-chip inductors, ca- From the overall ABCD arameters, the transfer functionpacitors, and output resistance of the driving buffer for mini- ..mum power consumption is determined as described in section HIII-B. H(s)1K(s) a2 s +a, s+a 3

A. H-Tree Sector Model A b3(s) .s3+b2(s). S2+ b,(s) s+bbo(s)The proposed model is based on a two level H-tree network s) _ A b3(s) s3 +b2(s) s2+ b, (s) s + bo (s)

in=s)(4)as depicted in Figure 1. The distributed RLC network shown in C d3(s) s3 + d2(s) S2 + d1(s) s + do (s)Figure 2 represents the clock tree depicted in Figure 1. The where ao, a,, anda2 are constants and the parameters K, bo,parametersR* , Li, and C1 are the resistance, inductance, and b1, b2, b3, do, d], d2, and d3 are functions of frequency, thecapacitance per unit length, respectively, where i varies fromone through four. The parameter N is the depth of the tree, geory The sucture, an te on-hipindutors and ca-which in the example shown in Figure 1 equals four, while thenumber of leafs is 2'N correspondingM matrices.Since all of the nodes labeled 1 in Figure 1 are symmetric, B. On-Chip Inductor, Capacitor, and Output Resistance of

the waveforms at these nodes are assumed to be identical, and the Driving Bufferas a result, can be assumed to be shorted together [9]. The Since the transmission line network of the resonant H-treesame assumption applies to nodes 2, 3, and 4. This simplifica- is a passive linear network (assuming the inverter at the leaftion is exploited to transform the circuit shown in Figure 2 into node is modeled as a constant gate capacitance), a one-porta distributed RLC transmission line as shown in Figure 3, network, as depicted in Figure 4, is used to model the H-treemaking the analysis considerably simpler. Since the intercon- sector.nect lines between each pair of nodes are assumed to be con- z (t)nected in parallel, the capacitance per unit length is increasedby approximately a factor of two, while the resistance and +

inductance per unit length is decreased by a factor of two at v (t) vjn(t) Networkeach level of the hierarchy. An analytic model of this structure 9 Zi.is developed based on ABCD parameters [10].L

From transmission line theory, the ABCD matrix for theVoverall structure is a product of the individual matrices, Figure 4. One-port network driven by a voltage source

K ]MMM M MM (2)

2074

The driving buffer of the resonant clock tree is modeled as a buffer resistance that produces a full swing sinusoidal wave-voltage source Vg(t) with a finite output impedance. The out- form while dissipating minimum power. Since the closed-formput impedance of the voltage source Zg and the input imped- analytic expressions for the input impedance and the transferance of the network Zin can be expressed, respectively, as function, given by (3) and (4), are somewhat cumbersome, the

Zg = Rg + JXg, (5) solution to (12) is graphically evaluated. In this manner, the

Z.=R. + JX~.,. (6) design space and related tradeoffs among the different pa-Zin =Rin +JXin (6) rameters can be explored.

The rate at which energy is absorbed is the power, given by Three design variables, LS, Cd, and Rg, are solved simulta-[1 1] neously to satisfy (12). In order to graphically represent the

= - V2 (7) design space, one of the three design variables is eliminated.net 2 rms g Equating H'(w) to 0.9 and solving for Rg (assuming Xg 0),where p is the real part of the input admittance of the H-tree ( ___2\2sector, Rg (Rm+IXX -R (14)

Rin (8)(RP + R )2 +(X+ X )2 Substituting (14) into (8) yields

Jn g in g0.92Note from (7) that in order to reduce the power consump- P=5)

tion Pnet, p should be made smaller. A second constraint is that IH(jco)| (R2 + Xi ()the magnitude of the transfer function should be equal to or Note from (15) that p is only a funCtion of the on-chip spiralgreater than 0.9 at the operating frequency in order to achieve inductor and capacitor at a specific frequency.full swing at the output. To justify a value of 0.9, consider aFourier series representation of a periodic square waveform IV. CASE STUDYx(t) with an amplitude of VDD,

jk%t 1 In this section, a 5 GHz resonant H-tree sector is designedx(t)= ake ak = VDD-sin (kco0T), (9) as a basic building block of a large global clock distribution

k-=-- ik network. The design guidelines and principles presented inwhere coo is the radian frequency, and T1 is a quarter of the section III are demonstrated in this case study. The case studyperiod of the square wave. Since the transfer function of the is based on a TSMC 0.18 ptm CMOS technology. The resis-H-tree sector at resonance is designed to transfer the funda- tance, inductance, and capacitance per unit length of themental harmonic of the square wave, consider the elements k transmission lines are extracted using HENRYTm and±1 in (8), METALTM from the OEA software suite [12].

a=- V (I(0) Expressions (14) and (15) at a 5 GHz operating frequency as+1 - DD (10 a function of the spiral inductance are plotted in Figure 5 over

From (10), the amplitude transferred to the output therefore a wide range of capacitance values (1 pF through 40 pF). Inequals VDD (2 4). The required sinusoidal amplitude at the order to satisfy condition (12a), the spiral inductance is chosenoutput is 1 volt (swinging around 0.9 volts) to allow the buff- to be LS = 2 nH, thereby minimizing p and maximizing Rg, asers at the leaf nodes to charge and discharge the load at fre- evident from Figure 5. Consequently, the maximum outputquencies as high as 5 GHz in a 0.18 tm CMOS technology. resistance is Rg 25 Q and the corresponding on-chip capaci-From this discussion, the required peak value of the magnitude tor is Cd = 15 pF.of the transfer function is The output waveform at the leaf nodes described in the time

Z Z= domain is shown in Figure 6. Note that the square clock wave-H' (jw0)) = 2V -2 0 9 (1 1) form is distributed to the leafnode, achieving a full rail-to-rail

2VDD 21.8 voltage swing. Also note that the output waveform exhibits aThese design constraints for a resonant H-tree network are quasi-sinusoidal characteristic which is common even in non-summarized in (12). Since the power consumption is inversely resonant multi-gigahertz clock distribution networks [7].proportional to the output resistance, (8) suggests that the out- In the frequency domain, the magnitude of the transfer func-put resistance of the driving buffer should be maximized. tion and input impedance around a 5 GHz operating frequency

min(P) (12a) is shown in Figure 7. Good agreement between simulationresults and the proposed analytic expressions is achieved, ex-

max (Rg) (12b) hibiting less than 500 error. Note that the peak magnitude (=0.9. 0.9) at 5 GHz maximizes the output resistance of the driving

IH(w0)o )l 2 0 9 (12c) buffer. As predicted by the design expressions and verified byIn (12c), IH' 6/)I is simulation, the power consumption in this example is

X R2 +X P"t 15 mW(including buffers) ascompared to anneo|H'(jw6) = in n 2|H(j)|, (13) nant H-tree sector, where the power consumption is 93 mW(Rg + Rin) + (Xg + Xin) (8400 greater than the resonant circuit).

where lH(o)l is described in (3) in the S-domain.The three conditions in (12) can be used to determine the

optimal value of the on-chip inductors, capacitors, and driving

2075

30 | | |||||| |clock distribution sectors. These H-tree structures form thebasic building block of a resonant network. An accurate model

25---X-- - T - -- --i -- --- i|- - - r - - i

is developed which utilizes transmission line theory to charac-20 L_ - terize high frequency effects. The high accuracy and analytic

F2pF nature of the model enables the exploration of tradeoffs in the° 15 design of a resonant H-tree sector. The optimal on-chip induc-

tors and capacitors as well as the maximum allowable driving10 - \ -1- t -- t -t-8 - - I- -- I- -- I- -- I | - -buffer output resistance are determined for a specific example5

I / \iC ||||circuit. This set of impedances produces the minimum powerwhile satisfying the specified clock frequency.

Ls [nH] 0.8 -

(a) 0.60.05 - - - - - - - - - - - - - - - - - - 0.4 -

0.045 I 0.2 - ---SpectreSII AInIlItIcI IoIA

0.04 --L 0

2 3 4 5 6 7 8

0.035 - T - - r - - - - - -100---- I------------- - -

0.03 SpectreSdlci 80 - Analytic model 1

al ri0.025 60 -

rr~ ~ pia inutr\l

0.02 F 40

0.015 -2 - 2- l0I I

0.01 r i 2 3 4 5 6 7 8Frequency [GHz]

0.005 - ---- -- -4 -

Figure 7. Magnitude of transfer function and input impedance---Analytic model

SpectreS(b--

Figure 5. Design tradeoffs for an H-tree sector: (a) Output resistanceas a function of the spiral inductor, (b) p as a function of the on-chip 7.5S-

spiral inductorE

Output Input 6.5 -Isignal signal

__

55

1 2 3 4 5 6 7 8 9 10

Ls [nH]E< 0.5-

Figure 8. Comparison of power consumption between analytic modeland SpectreS Spice simulation

REFERENCES-02

18.5 18.6 18.7 18.8 18.9 19 19.1 19.2 [1] E. G Friedman, "Clock Distribution Networks in Synchronous Digital Integrated Circuits," Pro-Time [nsec] ceedings of the IEEE, Vol. 89, No. 5, pp. 665-692, May 200N1

Figure 6. Output waveform at the leaf nodes [2] EG Friedman, High Performance Clock Distribution Networks, Kluwer Academic Publishers,

[3] S. Sauter, D.Schmitt-Landsiedel, R Thewes, and W Weber, "Effect of Parameter Variations at

Chip and Wafer Level on Clock Skews," IEEE Transaction on Semiconductor Manufacturing, Vol.10%terror. by elimiating the need for buffers in a resonant 13, No. 4, pp 395-400, Novermber 2000

clock network, significant power savings can be achieved. In [4] V. L. Chi, "Salphasic Distribution of Clock Signals for Synchronous Systems," IEEE Transactionon Computers, Vol. 43, No. 5, pp. 597-602, May 1994.

Figure 8, the power consumption as a function of the size of [5] F. 0 Mahony, C. P. Yue, M. A.Horowitz, and S S Wong, "A 10-GHz Global Clock Distributionthe on-chip inductors as expressed by (7) is shown. Using Coupled Standing-Wave Oscillators," IEEE Journal ofSolod-State Circuits, Vol. 38, No.11,

pp. 1813i-1820, November 2003aAlso note that the maximum resistance of the output buffer [6] J. Wood,T. C. Edwards, and S Lipa, "Rotary Traveling-Wave Oscillator Arrays: A New Clock

Technology," IEEE Journal of Sod-State Circuits, Vol. 36, No.1, pp. 1654-1665, November

Amtoooyisdetermined forec vau ofiductance. Gosodnagre-tement 2 tp//w.e.o

206 2001between simulation and (7) is illustrated, exhiibiting less than [7] S. C. Chan, K. L. Shepard, and P. J. Restle, "Design of Resonant Global Clock Distributions,"

Proceedings of the IEEE International Conference on Computer Design, pp. 248-253, OctoberlOO error As indcaedinFigre8,th miimm owr con-- 2003I