20
HAL Id: jpa-00229590 https://hal.archives-ouvertes.fr/jpa-00229590 Submitted on 1 Jan 1989 HAL is a multi-disciplinary open access archive for the deposit and dissemination of sci- entific research documents, whether they are pub- lished or not. The documents may come from teaching and research institutions in France or abroad, or from public or private research centers. L’archive ouverte pluridisciplinaire HAL, est destinée au dépôt et à la diffusion de documents scientifiques de niveau recherche, publiés ou non, émanant des établissements d’enseignement et de recherche français ou étrangers, des laboratoires publics ou privés. CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS DISILICIDES: A REVIEW R. Madar, C. Bernard To cite this version: R. Madar, C. Bernard. CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS DISILICIDES : A REVIEW. Journal de Physique Colloques, 1989, 50 (C5), pp.C5-479-C5-497. 10.1051/jphyscol:1989559. jpa-00229590

CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

  • Upload
    others

  • View
    12

  • Download
    0

Embed Size (px)

Citation preview

Page 1: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

HAL Id: jpa-00229590https://hal.archives-ouvertes.fr/jpa-00229590

Submitted on 1 Jan 1989

HAL is a multi-disciplinary open accessarchive for the deposit and dissemination of sci-entific research documents, whether they are pub-lished or not. The documents may come fromteaching and research institutions in France orabroad, or from public or private research centers.

L’archive ouverte pluridisciplinaire HAL, estdestinée au dépôt et à la diffusion de documentsscientifiques de niveau recherche, publiés ou non,émanant des établissements d’enseignement et derecherche français ou étrangers, des laboratoirespublics ou privés.

CHEMICAL VAPOR DEPOSITION OFREFRACTORY METALS DISILICIDES : A REVIEW

R. Madar, C. Bernard

To cite this version:R. Madar, C. Bernard. CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALSDISILICIDES : A REVIEW. Journal de Physique Colloques, 1989, 50 (C5), pp.C5-479-C5-497.�10.1051/jphyscol:1989559�. �jpa-00229590�

Page 2: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

JOURNAL DE PHYSIQUE Colloque C5, supplement au n05, Tome 50, mai 1989

CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS DISILICIDES : A REVIEW

R . MADAR and C . BERNARD*

I N P G , ENSPG, CNRS uRA-1109, Domaine universitaire, B P . 46, F-38402 S t M a r t i n dlH&res, France " I N P G , ENSEEG, CNRS URA-29, Domaine universitaire, B P . 75, F-38402 S t Martin d1H&res, France

RESUME

Les siliciures m6talliques jouent un r61e de plus en plus important en technologie circuits

intEi&s silicium de type VLSI. Parmi les diffkrentes techniques susceptibles dttre utili&s pour obtenir de

manitre reproductibie, des couches minces de ces m a ~ ~ u x de bonne qualitd, le d6p6t chimique en phase

vapeur (CVD) ap-t actuellement comme la plus performante. Le but de cette publication est de passer en

revue les diff6rents proct!d6s, matkriaux et rksultats obtenus jusqu' pdsent par cette mCthode de d6Nt en

technologie VLSI. De plus, les proc&ks rkents susceptibles de rkpondre aux exigences de la future

technologie ULSI seront examinks.

ABSTRACT

The importance of metal siliciats films in current VLSI technology is obvious. Among the

different techniques which can be used to obtain high quality layers of these materials in a reproducible

manner, the CVD emerges as the more promising solution. The purpose of this paper is to review the

various chemical vapor deposition processes, materials and results reported so far for refractory metals

disilicides in today's VLSI technology. In addition, potentially new CVD processes that could meet the future

reeds of the ULSI technology will be explored.

INTRODUCTION

The applications for metal silicides in the field of VLSI technology have increased

dramatically in the recent years. Among the different phases which have been studied, refractory metal

Article published online by EDP Sciences and available at http://dx.doi.org/10.1051/jphyscol:1989559

Page 3: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

C5-480 JOURNAL DE PHYSIQUE

disilicides such as WSi2, TaSi2, MoSi2 and TiSi2 have been selected for use as gates and interconnexions

due to their relatively low resistivity and high thermal stability (1-6). These silicides are compatible with

most IC wafer processing, offering good dry etch, adhesion, oxidation and contact properties (7-10).

Substituting polycrystalline silicon by low resistivity refractory metal disilicides in gate metallization can

overcome limitations in size reduction which stem for the high resistance of fine-line, doped ply-Si. At

present, the disilicides films are deposited on poly-Si to shunt the poly-Si gate electrode. To simplify

metallization schemes, this multilayer structure can be replaced by pure silicide film (1 1).

Several physical techniques have been used to form thin films of these silicides : evaporation

of the metal followed by annealing, coevaporation of the metal and silicon, sputtering from the stoichiomerric

compound, cosputtering of metal and silicon. However, by using these techniques, several problems have

been encountered (8-10) such as poor step coverage and irradiation damage caused by electron beams or

sputtering. By comparison, Chemical Vapor Deposition with its advantage of high purity materials, good

conformal coverage, uniform film thickness, possibility of selective deposition, high throughput and low cost

processing is very attractive for the deposition of these materials.

The intent of this paper is to give a general overview of the work already done in the field of

refractory metals disilicides CVD with indications of the present status of current research programs,

especially in the field of selective deposition.

Two aspects of the CVD of metal silicides have been deliberately ignored in this review :

- The formation by CVD at high temperature of thick silicides films for protective coatings

against corrosion since the range of temperatures generally used does not correspond to what can be tolerated

in IC technology.

- The OMCVD of MSi2 phases by pyrolisis of volatile molecular silicon-metal compounds

(12-13) since most of these organic compounds are not available commercially, limiting for the moment the

utilization of this promising technique.

Among the different works which have been reported for the CVD of these materials, the

originality of our approach lies in the systematic use of thermodynamic equilibrium calculations before any

experiment to predict the general trends of our process. Some of these calculations published in an elsewhere

(14,15) are also d e d in this paper together with the experimental results recently obtained.

Page 4: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

Several different CVD methods are used to deposit metal silicides films. These methods

cover a wide range of processing temperatures and pressures, energy sources to obtain the chemical reactions

involved and reactor designs.

FCK the definition of a CVD process for metal silicides, two parameters have to be fixed :

- The choice of the gaseous metal compound and silicon-bearing molecules which induces

the chemical reactions involved in the process.

- The choice of the chemical vapor deposition system among the three basic types:

atmospheric pressure (APCVD) low or very low pressure. (LFC!VD or VLPCVD) and enhanced LPCVD

methods (Piasma PECVD or Laser LICVD).

As concern the first parameter, we will consider only the deposition of refractory metal

disilicides films based on the reaction of a silicon bearing gas commercially available (e.g., silane,

chlorosilanes) with a gaseous metal compound. For proper compositional control of a CVD film, the thermal

stability (or the stability with respect to hydrogen) of the gaseous metal compound and the silicon-bearing

molecules should be simular (16). According to this crude selection criterion, the most attractive metal

precursors are the metal halides MoC15, MoF6, TaC15, TiCl4, WCk and WFg.

For practical purposes, only those which are easy to vaporize, handle and supply can be

considered for IC manufacturing. Thus, among these metal halides we must consider first Tic14 and WQ

which are Iiquid compounds with vapor pressures at room temperature sufficient to flow directly into a low

pressure CVD reactor. Their flow rates can be easily monitored using mass flow controllers and they can be

supplied with high purity at a reasonnable cost. As a result, CVD reactors and deposition processes for

titanium silicide (17, 18) and tungsten silicide films (7. 19.20) are now commercially available.

Various investigations have demonstrated the feasability of TaSi2 (21.23) and MoSi2 (22,

24) CVD using TaC15 and MoC15 solid sources as the respective metal precursors. However, these reactive

pentachlorides pose problems of corrosion, contamination and reproducibility which have impeded so far their

implementation in device manufacturing. One possible solution that we have studied recently is the

production of the gaseous chlorides in situ by passing chlorine through a pure metal bed (25). The results

obtained by this method in the case of the APCVD of TaSi2 (25) show that at least in this case, the in situ

chlorination process can be well controlled and may produce reproducible amounts of tantalum chlorides

TaC14. TaC15. A similar work is in progress for the CVD of WSi2.

Page 5: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

JOURNAL DE PHYSIQUE

As concern the second m e t e r , the choice of the chemical vapor deposition technique, it

depends on the nature of the silicide which has to be deposited.

For example, high quality films of TiSi2 have been obtained by APCVD, LPCVD and also

PECVD while in the case of WSi2, starting from WQ and SiH4, a LPCVD technique is needed in order to

avoid gas phase nucleation problems.

PECVD systems have been used successfully for the production of high quality, smooth and

reproducible MSi2 films but the as-deposited layers are amorphous and an annealing step is needed to obtain

the required transport properties. Moreover, PECVD system cannot be used for a selective process.

Thus, it appears difficult to define at first the best system for the CVD of these materials.

However, the actual trends are directed to the use of LPCVD, cold walls, single wafer, systems to avoid

depletion effect during the deposition process.

THERh4ODYNAMIC EQUILIBRIUM CALCULATIONS

As the fist step of CVD experimentation, equilibrium calcutations based on a

thermodynamic analysis of gas systems can provide an invaluable aid, guiding the selection of suitable gas

mixture, temperature and other experimental conditions for the deposition of a given material.

There are two common approaches that can be used for equilibrium calculations. The fist

one is to consider only some chemical reactions and calculate the concentration of the different species.at

equilibrium. Most of the thermodynamic calculations performed in the case of the CVD of refractory metals

disilicides are limited to this approach. Some of the reactions which have been used are the following.

Page 6: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

The validity of this kind of calculation depends of the choice of the chemical reactions

amsidered.

The second method which is generally p r e f d is a minimization of the Gibbs energy of the

whole system, using the technique already described by many authors and previouly performed successfully in

numerous systems (30-32). With this method, all the conceivable species which can appear during the

chemical reactions involved are taken into account. For a given set of reactants, total pressure and reaction

temperature, the composition of both gaseous and condensed phases at equilibrium can be calculated.

Enws may arise from the use of incorrect thermochemid data or the omission of important

species. So the first step in this method in to list the chemical compounds or species which are likely to be

formed and be present in the system at equilibrium. Then, a judicious selection of the data reported in the

literature and an estimation of unkown data must be done.

The thermodynamic data of the gaseous species which have been used in our calculations

come from the "Scientif~c Group Thermodata Europen (SGTE) and classical references sources (33.36). For

the condensed phases of metal-silicon systems, the selection of the most suitable thermodynamic data was

based on the consistency of calculated and experimental phase diagrams. More often, this thermodynamic

procedure shows that the scarce data available for the different solid phases of the M-Si (M = W, Mo, Ta, Ti)

systems are not self consistent. A critical assessement of the phase diagrams leads to a new set of

thermodynamic data required to start the equilibrium calculations (14,lS). Selected thermodynamic data used

for these calculations are given in Table 1.

Table 1 : Selected thermodynamic data for the four silicides of interest in IC technology

Page 7: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

JOURNAL DE PHYSIQUE

The thennodynamic equilibrium calculations performed in the different systems investigated

were used to represent the equilibrium conditions during the deposition process in the form of "CVD phase

diagrams". This kind of diagram shows computed phase boundaries for the condensed phases deposited at

equilibrium as function of the experimental CVD parameters chosen. These boundaries separate ranges of

input conditions under which specific condensed phases are computed to be equilibrium products. Example of

these diagrams are given for different metal-silicon system in figure 1 together with the conditions chosen for

these calculations.

Similar mults have been obtained for some of these systems by other groups (28,23) using

different programs based also on the technique of minimization of the Gibbs free energy of the systems.

As examples of the information which may be obtained from these calculations, let us

consider the results for metal chloride-silane-hydrogen systems with similar input conditions as shown in

figure 1 for W, Ta and Ti. The three CVD phase diagrams present numerous domains and the principal

evidence which comes out at first sight is the various extents of pure disilicide deposition domains. The TiSi2

case is quite promising for CVD experiments, while the WSi2 and TaSi2 ones are much more restricted.

An extensive investigation of the thermodynamic equilibrium calculations in these systems

have been reported in an elsewhere (15): We will recall the main conclusions :

- Among the four disilicides WSi2,MeSi2,TaSi2, TiSi2, the latter seems to be the most

suitable for a CVD process.

- The metal chlorides are themiodynamically more appropriate than the corresponding

fluorides as metal sources.

- Replacing silane by dichlorosilane extends in all cases the pure MoSi2 deposition domain.

RESULTS

We will describe successively the reported results obtained so far for the four silicides of

interest in IC technology.

The reported work on the CVD of blanket TiSi2 include APCVD (37,38) LPCVD (37.39,

43) PECVD (37.44) and LICVD (45,47).

Page 8: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

Ta, SI

Ta Si + Ta

Fig. 1 : Computed equilibrium "CVD phase

diagrams" in the systems M-Si-H-C1-Ar

(M = Ta (a), Ti (b), W(c)) for the following

experimental parameters : total pressure 1 atm,

deposition temperature 7m0C, argon partial

pressure : 0.9

Page 9: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

JOURNAL DE PHYSIQUE

A general overview comes from the original work of Kemwr et al (37) who have

investigated the synthesis of TiSi2 by APCVD. LPCVD and PECVD. The APCVD of TiSi2 (37) performed

in a temperature range of 800-1000°C and TiC14/SiH2C12 ratios of 1.5 to 4 resulted in rough films with

poor adhesion which was attributed to gas phase nucleation during the deposition process. To improve these

results, they investigated the LPCVD of this compound in a temperature range of 600-800°C, a pressure range

of 0.1-5 torr and a TiCk/SiH4 or TiCldSi H2C12 ratios of 0.1 - 10.

During these experiments, they were the first to point out the selective character of this

process and concluded that the deposition of TiSi2 is inhibited by the presence of a native oxide layer. So in

order to obtain a TiSi2 Film, it is nece*ssary to deposit fvst a polysilicon layer by SiH4 pyrolisis leading to a

polycide structure. There is presently a general agreement on this procedure which has been used by almost alI

groups working on this subject.

They also indicated that the surface roughness of their films was unacceptable and suggested

that it must be possible to obtained better results by an improvement of the vacuum system.

Effectively, soon after, better results were obtained by Reif et al (26, 41, 43) using a

LPCVD cold wall reactor by a careful optimization of the deposition conditions. Smooth, reproducible low

resistivity titanium disilicide films were obtained at a pressure of 67 mtorr, a temperature of 730°C and a

SiHfliC14 gas flow rate ratio of 20/2. They also demonstrated that the structure of the deposited films was

extremely sensitive to the reactive gases flow rate ratios.

LPCVD of TiSi2 has also been reported by Bouteville at a1 (39). using direct reaction of

Tic14 with the silicon substrate in the presence of hydrogen in the temperature range 700-1O0O0C and a total

'pressure of 0.75 torr.

However, the influence of the total pressure parameter is still unclear, since we have

obtained results as good as those just I'nentio~ed by APCVD in a cold wall reactor working in a temperature

range of 600-900°C and a TiC14fSQ ratio of 0.5 to 1 (38). This experimental work was based on the results

of thermodynamic equilibrium calculations in the Ti - C1 - Si - H - Ar system as mentionned previously.

High quality polycrystalline films with good surface smoothness were obtained by sequential deposition of

amorphous silicon and titanium disilicide at a low growth rate (2 pm/h) which was achieved by decreasing

both the deposition temperature ( 6 600°C) and the partial pressures of the reactive gases. The resistivity of

the as deposited films is in the range 15-25 JLQ x cm even for this low deposition temperature. Thus no

supplementary annealing step was needed

Page 10: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

Another appoach for the synthesis of TiSi2 films is the use of the PECVD technique. The

fitst experiment was made also by Kemper et al(37) in a parallel plate experimental reactor at a frequency of

300 kHz. The deposition occurs in a temperature range of 300-350°C and TiClqlSiHq gas flow rate ratio of 1

to 2. The as deposited films were reported to be smooth but amorphous and highly resistive. An annealing

step at 750°C for one hour was needed to reduce the resistivity to 20 pi2 x cm.

Following these first attempts, a PECVD technique was also used by Rosler et al (44) to

deposit TiSi2 films at a temperature of 450°C, a frequency of 50 kHz and SiHq/TiQ ratio between 2.75 and

5.00. An annealing step at 600 -650°C was also required to crystallize the as deposited amorphous films and

to lower the resistivity to its usual value 15-20 pC2 x cm. A complete characterization of TiSi2 films

obtained by this technique has been made by Mcrgan et al(48).

This process has been improved recently by Hara et al (49. 50) using a horizontal type

hot-wall plasma CVD reactor at 450°C, a pressure of 1.95 tom and an rf frequency of 50 kHz. By varying the

TiClq/SiHq gas flow rate ratio from 0.23 to 0.09, they were able to change the composition of the films

from 1.1 to 2.0. They have also demonstrated the importance of the annealing process for the stability of the

TiSi21Si interface.

To complete this review of the work done in the field of the CVD of TiSi2lSi polycide

structure, we must briefly mention the results of the two LICVD investigations reported so far even if they

are not especially good.

Gupta et al(45,46) used an excimer laser to initiate a gas phase reaction process through the

absorption of the laser energy by the Tic14 molecule, leading to the deposition of titanium silicide films at a

substrate temperature above 350°C. The mostly amorphous as deposited films required to be annealed at a

temperature of 650-700°C to reduce their resistivity.

A somewhat different LICVD pyrolitic process was reported by West et al(47) using a CO;?

laser. The deposition was carried out at a pressure of around 6 torr with a TiC14/SiH4 partial pressure ratio

varying fn>m 0.04 to 0.4. The microstructure and the transport properties ot the films were studied as function

of the substrate temperature in the range 400-50O0C. An annealing step at 800OC was also required to obtain a

low resistivity.

The reported works on TaSi2 include the exclusive use of tantalum chlorides and

Page 11: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

C5-488 JOURNAL DE PHYSIQUE

silicon-bearing molecules in the three basic systems : APCVD (22, 25). LPCVD (40, 23, 51, 55), and

PECVD (56).

The fmt work on the CVD of this material for IC manufacturing comes from Lehrer et a1

(5 1,52) using a multi-wafer LPCVD hot-wall reactor in a temperature range of 500-650°C, a pressure of 0.3

torr and various TaC15/Sq gas flow rate ratios. A polycide structure was produced by sequentially depositing

ply-Si and Ta5Si3 in a single process. The Ta5Sig was then converted to TaSi2 by heat treatment either

outside (51) ot inside the reactor (52).

Similar processes and results have been described by Williams et a1 (53) and Widmer et a1

(54). However, using the same kind of LPCVD system, Bouteville et al(40) reported the direct deposition of

TaSi2 on silicon substrate starting from the same chemical species and a similar temperature range. No

satisfactory explanation of this descre~ancy has been propcmd so far.

Using now a cold-wall single wafer LPCVD system, a TaC15 solid source, silane and

hydrogen, Wieczorek el a1 (28) demonstrated that TaSi2 fdms could be formed directly in a temperature range

of 630 to 750°C at a deposition rate of 70 nm.mn-* with a minimum resistivity of 60 x cm, after

annealing for one hour at 900°C in argon.

A similar process has been described by Reynolds (23) using a mixture of S a . TaC15, H2.

HCI and Ar at pressure between 100 mtorr and 1 torr over the temperature range 620-700OC. The

compositions of the films could be controlled by adjusting the deposition temperature, total pressure and the

partial pressures of reactant gases. A thermodynamic analysis of the deposition process was performed but the

results have not been published so far.TaSi2 films with good adhesion were deposited on Si and SiO;! and as

deposited resistivity values as low as 55 pi2 x cm were achieved at a deposition temperature of 700°C.

A different approach has been used by Hieber et al(56) to deposit tantalum silicide films at

low temperature in a PECVD system. An inductively generated plasma was applied to a mixture of TaCl5,

SiH2C12 and H2 at an overall pressure of about 2 mbars and a substrate temperature above 400°C. Up to a

substrate temperature of 540°C the films were amorphous after deposition whereas above 580°C crystalline

TaSi2 has been produced with a resistivity of about 70 pS2 x cm. A further drop of the resistivity to about 55

pQ x cm was obtained aftefanneding these films 1 hour at 900°C in argon.

Starting from an equilibrium thermodynamic calculations of the CVD diagrams for the

system Ta - C1- H - Ar - Si, we have recently defined the experimental conditions leading to TaSi2 system by

APCVD (25). Based on these calculations, high quality TaSi2 films have been deposited on both Si and Si%

Page 12: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

in cold wall restor working at atmospheric pressure, using sitane and tantalum chlorides produced in situ by

the reaction of chlorine on tantalum maintained at 550°C (figure 2). Experiments were carried out at

temperaNres between 600 and 850°C. Below 680°C, no deposition occurred. Gas phase compositions were

chosen in order to obtain films with different Sfla ratios from 0.7 (TaSi2 + Ta5Si3) to 2.6 (TaSi2 + Si)

according to the calculated phase diagrams. Deposited films of TaSi2 are 200-2000 nm thick, have a surface

roughness of below 15 nm, a plane substrate-silicide interface and a resistivity lower than 70 p.Q x cm after

annealing at 900°C for 2 hours.

Fig. 2 : Schematic =presentation of the APCVD

experimental set up for TaSi2 : 1-quartz tubes,

2 graphite susceptor, 3 rf heating, 4 resistance

heating, 5 Ni-Cr thermocouples, 6 tantalum bed,

7 gas inlet, 8 gas mixing, 9 gas outlet,

10 gas products neutralization, 11 vacuum

A r . S i H q

A r

w e n disilicide : WS12

Films of tungsten disilicide have been obtained by APCVD (29, 57, 58) LPCVD (7, 19,

20. 59-64) and PECVD (65) using almost exclusively a mixture of W ' - SiH4 and H2 with or without a

neutral carrier gas. Only one study of the CVD of WSi2 starting from WCl6 has been reported so far by

Lehrer et a1 (57). Bilayer films of Si and WSi2 were obtained at 600°C by sequential deposition of Si and W

using S I H ~ / N ~ and WCb/H2 mixtures at aunospheric pressure. During the W deposition, a crystalline WSi,

layer grows on the Si layer with grain size in the order of 10 nm. After anneal, the grain size is 30-50 nm and

the elecmcal resistivity as low as 65 pi2 x cm.

Page 13: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

JOURNAL DE PHYSIQUE

However, the fmt reported work on the APCVD of WSi2 by Lo et al(29) makes already

used of the commercially available and easy to handle tunsgten hexatluoride WFg.

Just after Lehrer's work, was reported a study of the deposition of WSi2 by PECVD (65)

using also a mixture of WFg and SS-Q in a rf parallel plate reactor at 13.56 Mhz, a substrate temperature of

230°C and a pressure of 0.5 to 0.7 Tom. The atomic ratio of W/Si in the film depended on the W i H 4 gas

flow rate ratios. Most of the deposited films were amorphous and a high temperature annealing step was used

to lower their resistivity.

Soon after Brors et al (I 7,19,20) reported the deposition of WSi2 in a cold wall multiwafer

LPCVD system. Deposition were done on Si and SiO;! in a temperature range of 300 to 450°C and a pressure

range of 0.1 to 0.3 ton. In these ranges, the deposition rate was found to be independent of temperature and

pressure, independent of SiH4 flow rate ; however, a linear function of WF6 flow rate. Any change in the

W W i H 4 gas flow rate ratio causes a corresponding change in the Si to W ratio in the deposited films.

This can be understood easily, looking to the CVD phase diagram calculated recently for this

system (19, and represented in figure 3. The WSi2 domain is reduced to a single line ; so, any deviation of

the WFdSiH4 gas flow rate ratio from the ideal composition corresonding to WSi2 must produce mixed

phases films, going from W5Si3 + WSi2 to WSi2 + Si.

Fig. 3 : Computed equilibrium "CVD

phase diagram" in the system

W-Si-H-F-Ar for the following

experimental parameters : total

presslm 1 atm, deposition

t e m p e ~ 720°C, argon partial

pressure : 0.9

Page 14: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

Despite this problem, this LPCVD process has been considered as a reference in the field of

CVD of WSi2 and most of the works reported after concerned almost exclusively the charadterization of films

obtained by this technique (64,66-68).

There have been only few reported studies of the CVD of MoSi2 for IC manufacturing, all

using a LPCVD system.

The first report comes from Inoue et al(24) using a LPCVD hot wall multi wafer reactor at

a pressure of 0.6-2 Torr and in a temperature range of 520-600°C. Silane was used as the silicon source and

MoCl5 as the metal source. MoSi2 films were deposited at low temperature (670°C) onto oxidized Si

substrates. An annealing step at temperatures between 700-1000°C was needed to reduce the resistivity of the

asdeposited films to its usual value (60-100 pi2 x cm ).

The other reports on the LPCVD of MoSi2 (27,62,69,70) deal with the reaction of MoF6

with silane in the presence of hydrogen in cold wall reactors. The LPCVD of molybdenum silicides was

observed at temperature as low as 150°C, some 200°C lower than LPCVD WSix. The reported results are

similar to those obtained previously for WSi, made by the reaction of WFg and SiH4 with at least one main

difference : the composition of the deposited films depends only on the deposition temperature for a wide range

of MoFd S1Hq gas flow rate ratio.

We have not performed yet thermodynamic equilibrium calculations in the system Mo - Si -

F - H - Ar. But for obvious reasons, we suspect that the CVD phase diagram for this system must be very

similar to the one calculated for W - Si - F - H - Ar system. The reported difference in the sensitivity of the

deposited film composition to the reactive gases flow rate ratio may be attributed to some kinetic effect which

must be studied to get a better understanding of these processes.

FUTURE DIRECTIONS IN CVD OF METAL SILICIDES

Today VLSI technology is moving into the ULSI era in which the feature size of practical

devices must be well into the submicron range. Like the CVD of dielectrics (71), the CVD of refractory metal

silicides must make some accomodations as well.

The results reported so far in this paper concerned the deposition of "blanket silicide" mostly

for polycide structure in conjunction with a predeposited ply-silicon layer. As regards to the materials

Page 15: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

JOURNAL DE PHYSIQUE

requirements for the new generation of ULSI devices, it must be extremely important to use silicides also as

contacts for the m e and drain regions in addition to the gate. One solution which is investigated is the

salicidation process in which the metal deposited by a PVD technique is reacted onto Si/Si% patterned wafer

to form the metal silicide only on the bare silicon surface. This has been achieved for example with TiSi2

(72). However, this method requires in this case a titanium and titanium nitride strip and an annealing step

after the TiSi2 film is formed. Moreover the salicide process implies the consumption of the underlying

silicon and thus is not compatible with the fabrication of shallow junctions.

This salicide process may be replaced in the near future by selective CVD of the metal

silicide. Several attempts have been made in order to set up a selective deposition process of TiSi2 based on

the silicon reduction of Tic4 in the presence of hydrogen (21). But there was still a consumption of silicon

from the substrate which may cause reliability problems. One solution to this problem which is presently

investigated is the use of a reactive mixture containing a silicon bearing molecule.

Two selective deposition processes of TiSi2 have been reported recently, using both a

mixture of Tic14 and SiQ. The f i t one reported by Ilderem et al(73.74) makes use of a thin polysilicon

layer deposited prior to the silicide in a cold-wall very low pressure CVD reactor. It is shown that selective

deposition is possible by controlling the polysilicon and the titanium silicide deposition times. But even at a

deposition temperature as low as 730°C. 40 % of the silicon in the titanium silicide film originates from the

consumption of the underlying silicon substrate. The process is based on the etching and/or consumption of

the thin polysilicon layer due to the deposition chemistry which has not been explained further yet.

In fact, all the results obtained so far in the selective deposition of this material by CVD

may be explained by the presence of a native oxide layer on the surface of the silicon substrate which prevents

the nucleation of TiSi2. Based on this assumption, three solutions may be considered for a selective

deposition of this material :

- A ciassical etching step prior to deposition, by reacting the substrate with a gas mixture

of H2/HCl at 1 100°C.

- The selective deposition of silicon prior to the deposition of TiSi2 in a LPCVD reactor,

leading to a selective polycide structure.

- Or the solution we have investigated, namely the use of an appropriate choice of the

reaction gas (TiClq, SiHq, Hz, Ar) for an in situ etching of the native oxide at the beginning of the

deposition process (74,75).

Page 16: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

Indeed thermodynamnc equilibrium calculations perfomed in this system show that a slight

excess of silane in comparison with the composition leading to the formation of TiSi2 converts SiO2 into

volatil SiO with a significant yield above 900°C at atmospheric pressure (75). Starting from these

calculations, selective deposition of I'iSi2 has been obtained recently at temperature as low as 700°C, in

conformation with the design down to 1 pm size patterns (74). An example of selective deposition on

panerned wafer is given in figure 4.

Fig. 4 : SEM micrograph of selective

titanium disiilicide &posited on

patterned silicon wafer. TiSi2

line width : 4 pn.

Successful selective deposition of metal silicide was also obtained by Hieber et al in the case

of TaSi2 (76,77) according to the reaction chemistry :

This method requires adjusting the substrate deposition temperature and composition of the

reaction gas to values at which silicide nucleation in regions of the substrate other than silicon regions is

suppressed during deposition from the gaseous phase due to the presence of the hydrogen halide. The

deposition ternperahire were between 600OC and 800°C and the deposition pressures ranged from 0.5 mbar to 1

mbar. No consumption of underlying silicon was detected by TEM examinations. This process may be of

great potential in the metallization of shallow junctions in submicron IC structures, once solved the problem

of the surface roughness of the deposited films.

Page 17: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

JOURNAL DE PHYSIQUE

The authors are grateful to J.F. Million-BW, C. Vahlas, E. Mastromatteo. E. Blanquet. J.

Torres and J. PaIIeau for their helpful contribution to this work and to "the Centre National d'Etude des

Tt5lhtnmunications" and the "Groupement Circuits Int6gn5s Silicium" for their financial s u p p a

REFERENCES

/I/ R.H. Dennard, F.H. Gaensslen, M. Yu. V.L. Rideout, E. Bassous and A.R. Leblanc, IEEE. J. Sol. Stat.

Circuits. SC-9, (1976) p 256

/Ll S.P. Murarka, J. Vac. Sci. Technol., 17, (1980) p 769

Dl L. Mohammadi. Sol. Stat. Technol. 1, (1981) p 65

/4/ J.D. Meindl, K.N. Ramakumar, L. Gerzerbg and K.C. Saraswat, in "Int. Sol. Stat. Circuits Conf." L.

Winner, Edit, IEEE, New York (1981) p 36

/5/ F.M. D'Heurle, Proc. ECS 1982, VLSI Sci. Tech., (1982) p 194

/6/ S.P. Murarka, "Silicides for VLSI applications", A d . Press, New York (1983)

/7/ K.C. Saraswat, D.L. Brors, J.A. Fair, K.A. Monnig and R. Beyers, IEEE Trans. Elec. Dev., ED-30,

(1983) p 1497

/8/ B.L. Crowder and S. Zirinsky, IEEE Trans. Elect. Dev., ED-26, (1979) p 369

P/ A.K. Sinha, J. Vac. Sci. Techn., 19, (1981) p 778

/lo/ T.P. Chow and AJ. Steckl, IEEE Trans. Elec. Dev., ED-30, (1983) p 1480

/I I/ Y. Pauleau. Solid State Technol., vol. 30 (4) (1987) p 155

1121 B.J. Aylett and RM. Colquhoun. J. Chem. Soc. Dalton Trans., (1977) p 2058

1131 B J. Ayleu and A.A. Tannahill, Vacuum., 35, (1985) p 435

1141 C. Vahlas, E. Blanquet, P.Y. Chevalier, Calphad-XVII. University of California (Berkeley), July 10-15,

1988

/15/ C. Bernard. C. V*, J.F. Million-Brodaz, R. Madar, m. loth Int. Conf. on CVD., G.W. Cullen

Edit, Electrochem. Soc., Pennignton NJ (1987) p 700

1161 W.A. Bryant, G.H. Meier, Proc. sth Int. Conf. on CVD., J.M. Blocher Jr, HE. Hintermann and L.H.

Hall Eds, Electrochem. Soc., Pennington NJ (1975) p 161

1171 D.G. Hemmes, J. Vac. Sci. Technol., B, vol. 4, (1986) p 1332

/18/ R.S. Rosler, G.M. Engle, J. Vac. Sci. Technol., B, vol. 2, (1984) p 733

1191 DL. Bmrs, J.A. Fair, K.A. Monnig, K.C. Saraswat, Solid. Stat. Technol., vol. 26, (1983) p 183

/20/ DL. Brors, J.A. Fair, K.A. Monnig, K.C. Saraswat, Proc. 9th Int. Conf. on CVD, Mc D. Robinson et

a1 Eds, Electrochem. Soc., Pennington NJ (1984) p 275

Page 18: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

Dl/ A. Bouteville, A. Royer, J.C. Remy, J. Electrochem. Soc., vol. 134, (1987) p 2080

/22/ D.E.R. Kehr, k. 6th Int. Conf. on CVD, L.F. Donaghey, P. Rai-Choudhury, R.N. Tauber

Eds, Electrochem. Soc., Pennington NJ (1977) p 51 1

/23/ GJ. Reynolds, Proc. Symposium on Multilevel metallization, Interconnexion and contact technologies,

L.B. Rothmann, T. Hemdon Eds, Elecwchem. Soc., P h g t o n NJ (1987) p 39

I%/ S. Inoue, N. Toyokura, T. Nakamura, M. Maeda, M. Takagi, J. Electrochem. Soc., 130, 7, (1983) p

1603

/25/ E. Blanquet et al, To appear in Thin Solid Films (1989)

/26/ P. Tedrow, V. Ilderem and R. Reif. Appl. Phys. Lett., (1985) p 1

/27/ PJ . Gaczi, Proc. Symposium on Multilevel metallization, Interconnexion and contact technologies,

L.B. Rothmann, T. Hemdon Eds, Electrochem. Soc., Pennington NJ (1987) p 32

/28/ C. WiecuKek, Thin Solid Films., 126, (1985) p 227

/29/ J.S. Lo, R.W. Haskell, J.G. Byrne and A. Sosin, Proc. 4th Int. Conf. on CVD., G.F. Wakefield and

J.M. Blocher, Eds., the Electrochem. Soc., Pennington NJ (1974) p 74

/30/ P. Vay, PhD Thesis (Grenoble, FRANCE) (1971)

131/ G. Eriksson, Acta Chem. Scand., E, (1971) p 2651

/32/ C. Bernard. Ptoc. 8th Int. Conf. on CVD. J.M. Blocher Jr, G.E. Vuillard and G. Whal Eds. The

Electrochem. Soc. Pennington, NJ (1981) p 3

/33/ Janaf, Thermochemical Tables, 2nd Edit. @ow. Chem. Co, Midland MI) (1971) and Suppl. 1975,1978

f34/ 0. Kubaschewski, 0. Kubaschewski-Von Goldbeck, P. Rogl. M.F. Granzen, Atomic Energy

Review-Titanium : Physico-Chemical properties of its compounds and alloys, Special Issue no 9 (1983)

/35/ R. Multgren, Selected values of the thermodynamic properties of binary alloys. American Society of

Metals (1973)

/36/ I. Barin and 0. Knacke, Thermochemical properties of Inorganic substances (Springer, Berlin 1973 and

1977)

f371 MJ.H. Kemper, S.W. Koo and F Huizinga, Roc. of the 5th symposium on Plasma Processing., G.S.

Mathad, G.C. Schwarb and G. Smolinsky Eds., 85-1 (1985) p 285

/38/ J.F. Million-Brodaz, C. Vahlas, C. Bernard, J. Torres and R. Madar, Proc. of the 6th European Conf. on

CVD, R. Porat Edt., (1987) p 280

/39/ A. Bouteville, A. Royer and J.C. Remy, J. Electrochem. Soc., 134 (8). (1987) p 2080

1401 A. Bouteville, A. Royer and J.C. Remy, Proc. of the 6th European Conf. on CVD, R. Porat Edt. (1987)

P 264

1411 R. Reif, P. Tedmw and V. Ilderem, US Patent no 4,666,530 M a y 1987

/42/ V. Ilderem. J. Lee and R. Reif, Ext. Abstracts, Electrochem. Soc. Fall Meeting (Hawdi, October 1987)

87-2, p 1467

Page 19: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

C5-496 JOURNAL DE PHYSIQUE

1431 V. Uderem and R. Reif, J. Electrochem. Soc., 135.10, (1988) p 2590

14-41 R.S. Rosler and G.M. Engle, J. Vac. Sci. Technol. B., 2 (4). (1984) p 733

1451 A. Gupta, G.A. West and K.W. Beeson, Ext, Abstracts, Electrochem. Soc. Spring Meeting (Toronto,

CANADA, 1985) 85-1, p 390

1461 A. Gupta, G.A. West and K.W. Beeson, J. Appl. Phys., 58 (9), (1985) p 3573

1471 G.A. West, A. Gupta and K.W. Beeson, Appl. Phys. Lett., 47 (5), (1985) p 476

1481 AE. Morgan, W.T. Stacy, J.M. De Biasi and T.Y.J. Chen, J. Vac. Sci. Technol., B4 (3). (1986) p 723

1491 T. Hara, Y. Ishizawa. H.M. Wu, D.G. Hemmes, R.S. Rosler, Proc. loth Int. Conf. on CVD, G.W.

Cullen Edit, Electrochem. Soc., Pennigton NJ (1987) p 867

1501 T. Hara, Y. Ishizawa, H.M. Wu, D.G. Hemmes, R.S. Rosler, Thin Solid Films, 157 (1988) p 135

/51/ W.I. Lehrer, J.M. Pierce, E. Goo, S. Justi. Proc. lSt Int. Conf. VLSI Science and Technol.,

Electrochem. Soc., Pemington NJ (1982) p 258

1521 W.I. Lehrer and J.M. Pierce. Ext. Abstracts 164th Meeting of the Electrochem. Soc., Abstract no 284,

83-2, (1983) p 440

1531 D.S. Williams, E. Coleman, J.M. Brown, Thin Solid Films., 133 , (1986) p 2637

1541 AE. Widmer, R. Fehlmann, Thin Solid Films., 138, (1986) p 131

/55/ K. Hieber and F. Neppl, Thin Solid FiIms., 140, (1986) p 131

1.561 K. Hieber. M. Stoh, C. Wieczorek, Proc. 9th Int. Conf. on CVD. Mc D. Robinson et al. Eds,

Electrochem Soc., (1984) p 205

/57/ W.I. Lehrer and J.M. Pierce, "Semiconductor Silicon 1981". Proc. 4th Int. Symp. on Silicon Materials

Science and Technology., H.R. Huff, R.J. Kiegler and Y. Takeishi Eds., the Electrochem. Soc.,

Pennington NJ (1981) p 588

/58/ K.C. Saraswat and F. Mohammadi, Ext. Abstracts of the Electrochem. Soc. Spring Meeting., Saint

Louis, Missouri (1980) p 419

1591 S. Sachdev and T.T. Doan, Workshop on Refractory Metal Silicides for VLSI 11, U.C. Berkeley, May

14-17 (1984)

1601 K. Monnig, Workshop on Refractory Metal Silicides for VLSI 11, U.C. Berkeley, May 14-17 (1984)

1611 K.Y. Ahn and S. Basavaiah, Thin Solid Films., 118, (1984) p 163

1621 S. Tokuhara, A. Takamatsu. S. Moribe, H. Sakai, T. Yoshimi, Ext. Abstracts of the 170th Meeting of

the Electrochem. Soc., San Diego, CA, oct. 19-24 (1986) vol. 86-2, p 398

I631 Y. Shioya, K. Ikegami, M. Maeda, K. Yanagida, J. Appl. Phys., 61 (2). (1987) p 561

I641 M. Fukumoto and T. Ohzone, Appl. Phys. Lett., 50 (14), (1987) p 894

I651 K. Akitmoto and K. Watanabe, Appl. Phys. Lett., 39 (5), (1981) p 445

1661 Y. Shioya, T. Itoh, I. Kobayashi and M. Maeda, J. Electrochem. Soc., 133 no 7, (1986) p 1475

1671 M. Kottke. F. Pintchovski. TR. White and PJ . Tobin, J. Appl. Phys., 60 (8). (1986) p 2835

Page 20: CHEMICAL VAPOR DEPOSITION OF REFRACTORY METALS …

/68/ T. Hara, S. Enomoto and T. Jinbo, Jap. Journal of Applied Physics., 23 (7), (1984) p 2455

1691 G.A. West and K.W. Beeson, Proc of the loth Int. Conf. on CVD, the Electrochem. Soc., Pennington

NJ (1987) p 68

PO/ PJ . Gaczi, Ext. Abstracts of the 170th Meeting of the Electrochem. S.oc., San Diego, CA,

~ t . 19-24 (1986) VO~. 86-2, p 506

/71/ J.M. BIum, Proc. of the 10th Int. Conf. on CVD, The Electrochem. Soc., Pennington NJ (1987) p 476

/12/ ME. Alperin et Al, IEEE Journal of Solid-State Circuits, vol. 5C-20, no 1, (1985) p 61

f73/ V. Ilderem and R. Reif, Appl. Phys. Lett., 53 (8). (1988) p 687

p4/ R. Madat. E. Mastromatteo, C. Vahlas, C. Bernard, J. Palleau, J. Torres, Proc. of the MRS Soc. Fa11

Meeting., Boston USA (1988)

P5/ R. Madar, J.F. Million-Brodaz, C. Bernard, J. Torres, French Patent no 87115885 November 1987

f761 T.P.H.F. Wendling. C. Wieczorek and K. Hieber, Pm. of the 2nd European Workshop on Refractory

Metals and Silicides., Aussois (FRANCE), (1987) p 255

P71 M. Stolz, K. Hieber, C. Wieczorek, Thin Solid. Films., LOO, (1983) p 209