16
CHEMICAL VAPOR DEPOSITION Proceedings of the Fourteenth International Conference and EUROCVD-11 Editors Mark D. Allendorf Sandia National Laboratories Livermore, California Claude Bernard Laboratoire de Thermodynamique et Physicochimie Metallurgiques ENSEEG, Institut National Polytechnique de Grenoble Saint Martin D'Heres, France SPONSORED BY THE HIGH TEMPERATURE MATERIALS, DIELECTRIC SCIENCE AND TECHNOLOGY, AND ELECTRONICS DIVISIONS OF THEELECTROCHEMICAL SOCIETY THE AMERICAN CERAMIC SOCIETY THE MATERIALS RESEARCH SOCIETY U.S. DEPARTMENT OF ENERGY OFFICE OF INDUSTRIAL CONCEPTS ADVANCED INDUSTRIAL MATERIALS PROGRAM AIXTRON SEMICONDUCTOR TECHNOLOGIES GMBH CENTRE EUROPEEN DE RECHERCHES EN M&TALLURGIE DES POUDRES LIBBEY-OWENS-FORD CO. MKS INSTRUMENTS, INC. MATS UK, LTD. Proceedings Volume 97-25 THE ELECTROCHEMICAL SOCIETY, INC., 10 South Main St., Pennington, NJ 08534-2896

CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

CHEMICAL VAPOR DEPOSITION

Proceedings of the

Fourteenth International Conference

and

EUROCVD-11

Editors

Mark D. Allendorf

Sandia National Laboratories

Livermore, California

Claude Bernard

Laboratoire de Thermodynamique et Physicochimie MetallurgiquesENSEEG, Institut National Polytechnique de Grenoble

Saint Martin D'Heres, France

SPONSORED BY

THE HIGH TEMPERATURE MATERIALS, DIELECTRIC SCIENCE AND TECHNOLOGY,

AND ELECTRONICS DIVISIONS OF THE ELECTROCHEMICAL SOCIETY

THE AMERICAN CERAMIC SOCIETY

THE MATERIALS RESEARCHSOCIETY

U.S. DEPARTMENT OF ENERGY OFFICE OF INDUSTRIAL CONCEPTS

ADVANCED INDUSTRIAL MATERIALS PROGRAM

AIXTRON SEMICONDUCTOR TECHNOLOGIES GMBH

CENTRE EUROPEENDE RECHERCHES EN M&TALLURGIE DES POUDRES

LIBBEY-OWENS-FORD CO.

MKS INSTRUMENTS, INC.

MATS UK, LTD.

Proceedings Volume 97-25

THE ELECTROCHEMICAL SOCIETY, INC.,

10 South Main St., Pennington, NJ 08534-2896

Page 2: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

CONTENTS

Preface iii

PART I—FUNDAMENTAL ASPECTS OF CVD:

THERMODYNAMICS, KINETICS,AND MECHANISMS

Quantum Chemistry: A Review of Ab Initio Methods and Their Use

in Predicting Thermochemical Data for CVD Processes

C. E Melius, M. D. Allendorf, and M. E. Colvin 1

Gas-Phase Kinetics in the TI-CL-H SystemF. Teyssandier and M. D. Allendorf 15

Different Applications of the CVD Thermodynamic Simulations in the

Ti-Si-N System: Oxidation and Microelectronics Materials

E. Blanquet, A.M. Dutrvn, C. Bernard, G. Llauro, and G. Hillel 23

Thermodynamic Study of the Hafnium-Carbon System for Hafnium Carbide

Chemical Vapour DepositionP. Sourdiaucourt, A. Derre, P. David, and P. Delhaes 31

The Reactions ofBC13 and NH3 Relevant to the CVD of Boron Nitride

A.H. McDaniel andM.D. Allendorf 40

On the Maturation of the Gas Phase in the CVD of Pyrocarbon from C3H8 as

Assessed by FTTR In-Situ Analysis and Kinetic StudyO. Feron, F. Langlais, and R. Naslain 49

Growth Kinetics of Chemically Vapor Deposited Silica Films from Silane/Oxygen Mixtures

F. Ojeda, A. Castro-Garcia, C. Gomez-Aleixandre, andJ.M. Albella 57

Contribution of Gas Phase Reactions to the Growth Rate of LPCVD SiliconFilms in the Temperature Range from 500 to 550°C

C. Cobianu, P. Cosmin, M. Modreanu, D. Dascalu, and J. Holleman 65

Reaction Scheme for the Deposition of Gallium Nitride Based on Pyrolysisof Ammonia Chloride Complex

S.E. Alexandrov, D.M. Krasovitskiy, andA.Yu Kovalgin 73

In-Situ Spectroscopic Investigation of the Gas Phase Oxidation and Hydrolysisof Dimethyltin Dichloride and the Correlation with APCVD Sn02 Growth

H.E. Sanders 81

Investigation of Thermolysis Mechanism of Gas Phase of Ni(II) Chelates byMass Spectrometry

PP. Semyannikov, V.M. Grankin andl.K. Igumenov 89

Atomic-Order Nitridation of the H-Terminated and H-Free Si Surfaces by NH3T. Watanabe, A. Ichikawa, M. Sakuraba, T. Matsuura, and J. Murota 97

Depth Profiling of the Early Stages of High Temperature CVD of TiN and

Moderate Temperature CVD of Ti(C,N) by Use ofAuger Electron SpectroscopyH.R. Stock, C. Jarms, H. Berndt, B. Wielage, andA. Hoftnann 105

vii

Page 3: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

Sticking Coefficient in Film Profile Prediction for Chemical Vapor Deposition

T. Maruizumi, J. Ushio, Y. Takemura, andN. Kobayashi 115

Water Vapor and Chlorine Effects on the Epitaxial Growth of SiGe Films by CVD

I.M. Lee, A. Jansons, and C.G. Takoudis 123

Effects of HC1 Readsorption on Film Growth in Atomic Layer CVD ofTi02H. Siimon, J. Aarik, and T. Uustare 131

Routes of Thermal Decomposition of Metal b-Diketonates

A.E. Turgambaesa, A.F. Bykov, andl.K. Igumenov 139

Kinetic Study ofLow Pressure Chemical Vapor Deposition of Si02 UsingTetraethoxysilane (TEOS)

J.ArndtandG. Wahl 147

Influence of the NH3/TiCl4 Ratio on the Formation ofTiN Layers by RTLPCVD

fromTiCl4-NH3-H2A. Bouteville, L. Imhqff, and J.C. Remy 155

Simulation of a Diamond Oxy-Acetylene Combustion Torch Reactor with a

Reduced Gas-Phas and Surface Mechanism

M. Okkerse, R.J.H. Klein-Douwel, M.HJ.M. de Croon, C.R. Kleijn,

J.J. terMeulen, G.B. Marin, andH.E.A. vandenAkker 163

Chemical Reactions in Titanium Plasma-Assisted Chemical Vapor DepositionY. Oshita and K. Watanabe 171

Some Experimental Regularities of Polycrystalline Boron CVD and

Their Chemical and Transport Kinetics Correlations

A.M. Tsirlin, V.G. Gerlivanov, E.K. Fiorina, T.V. Fedorova and A.M. Tsirlin 179

High Energy Photon Assisted Rapid Isothermal Processing Based Chemical

Vapor Deposition

R. Singh, R. Sharangpani, andY. Chen 187

An Improvement in the Behavior of LPCVD Reactors: The Dead Zones Reducers

H. Gris, B. Caussat, H. Vergnes, andJ.R Couderc 194

PART II—MODELING CVD PROCESSES AND PHENOMENA

LPCVD Reactor Modeling: Consequence for Film Structure AnalysisJ. P. Couderc 202

Turbulence and its Influence on Mass Transfer in a Rotating Disk CVD Reactor

H. Van Santen, C.R. Kleijn, andH.E.A. Van DenAkker 214

A Three-Dimensional Computational Fluid Dynamics Model of a Horizontal

Rotating Disk CVD Reactor

S. Kommu and G.M. Wilson 222

Viii

Page 4: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

Modelling and Process Optimisation in a Radial Flow Multiwafer MOVPE Reactor

T. Bergunde, M. Dauelsberg, L. Kadinski, Yu. N. Makarov, G. Strauch,

and H. Jurgensen 230

Hydrodynamics and Mass Transfer Modelling for RTP Reactors

S. Soukane and P. Duverneuil 238

Modelling and Design ofInjection Feed Multiwafer LPCVD Reactors

L.M. Zambov, C. Popov, and G. Beshkov 246

Vertical Integration of CVD Process Models

M.K. Gobbert, T.P Merchant, L.J. Borucki, and T.S. Cale 254

Defect Formation and Macroscopic Modelling of Silicon Carbide

Sublimation Process

M. Pons, E. Blanquet, C. Bernard, J.M. Dedulle, M. Anikin, K. Chourou,

andR. Madar 262

Microstructure Evolution in Thin Films: Atomistic Simulations

V. Mahadev, A. Challa, and T. Cale 270

Simulation of Diamond-Like Carbon Deposition in PECVD Reactors

M. Masi, C. Cavallotti, andS. Carra 278

Numerical Modelling ofA1N Growth in a Hot Wall CVD Reactor

A. Dollet, Y. Casaux, andR. Rodriguez-Clemente 286

Plasma CVD of Germanium from Germane: Theoretical Modeling of the

Experimental Kinetic and Mechanistic Data

T. Bolom, F. Glatz, M.G.J. Verprek-Heijman, andS. Veprek 294

PART III—HARD COATINGSAND CERAMICS

Development of Multi-Component CVD CoatingsM.L. Auger and V.K. Sarin 302

Superhard Nanocrystalline Transition Metal-Amorphous Si3N4 Composites:Comparison of HF with DC Plasma CVD and Stability of the Nanostructure

S. Veprek, A. Niederhofer, P. Nesladek, and F. Glatz 317

Nanocrystalline Diamond Films from Argon Microwave Plasmas: Phase Purity,Microstructure, Growth Mechanism, and Applications

D.M. Gruen, A.R. Krauss, D. Zhou, T.G. McCauley, T.D. Corrigan,R.P.H. Chang, and G.M. Swain 325

Low Temperature CVD of Nanocrystalline Molybdenum Carbide Films

J. Lu and U. Jansson 333

Activation of TaC Filament at High Methane Concentrations for Diamond DepositionD.M. Li, T. Mantyla, R. Hernberg, and J. Levoska 341

IX

Page 5: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

DLC and SiOx Coatings Deposited by Low Frequency PECVD in a Pilot- Scale Reactor

E Schuster, C. Chabrol, S. Anderbouhr, L. Filhol, and G. Piet 349

TiN and (Ti^Al^N Films Obtained by a Low Pressure Chemical VaporDeposition Process

S. Anderbouhr, E. Blanquet, V. Ghetta, and C. Bernard 356

Chemical Vapour Deposition ofTitanium Nitride for Corrosion Protection in

Molten Carbonates

M. Keijzer, P.JJ.M. van derPut, J. Schoonman, S.-E Au, K. Hemmes,

and HJ.H.W. deWit. 364

High Temperature Oxidation Mechanism of TiN-TixSiy CVD CoatingsG. Lauro, R. Hillel, E Gourbilleau, C. Monty, and E Sibieude 369

The Increase of the Wear and Corrosion Resistance of Ti(NCO) Layerby Annealing in Plasma Nitrogen Atmosphere

J.R. Sobiecki and J.R.Wierzchon 376

Deposition of SiOx Layers on Moving Polymer Films Using Photo-CVDat Atmospheric Pressure

W. Decker and G. Wahl 385

Electrochemical Vapor Deposition of Thin Dense Zirconia-Yttria-Ceria Membranes

J. Han and Y.S.Lin 393

Aerosol Size Effects in Combustion CVD

W.B. Carter, G.W. Book, andD.W. Stollberg 401

Combustion CVD-Deposited Oxidation Resistant CoatingsJ. Hampikian, B.C. Valek, andM.R. Hendrick 409

Deposition and Characterization of Zr02 and Yttria-Stabilized Zr02 Films

Using Injection-LPCVDN. Bourhila, F. Felten, J.R Senateur, F. Schuster, R. Madar, and A. Abrutis 417

Ceramic Coating of Metal Tube Inner Surfaces by OMCVD

/. Poirier, Y.B. Wang, M. Ducarroir, and F. Teyssandier 425

Preparation and Properties of Ceramic Micro-Coils by CVD Process

S. Motojima, T. Hamamoto, N. Ueshima, Y. Kojima, andH. Iwanaga 433

RPECVD Boron Nitride Layers from Borazine as Single-Source Precursor

M.L. Kosinova, YM. Rumyantsev, N.I. Fainer, andEA. Kuznetsov 441

A Design Policy of the SiC CVD Reactor Based on the Chemical

Reaction Kinetics of DichlodimethylsilaneT. Takeuchi, Y. Egashira, and H. Komiyama 447

Hard Protective Coatings from New Bicyclo [2.2.1]Heptanolates of

Dicyclopentadienyl Hafnium

S.V. Volkov, A.V. Grafov, G.A. Battiston, L. Koval, R. Gerbasi,

M. Porchia, P. Zanella, and E.A. Mazurenko 455

X

Page 6: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

Applications of CVD Transparent Conducting Oxides Deposited on a

Float Glass Manufacturing Line

R.J. McCurdy andM.J. Soubeyrand 463

Chemical Vapor Deposition on the Bi-O System

M. Schuisky andA. Hdrsta 471

Influence of Barrier Diffusion Layers on Protective Properties of Heat-Proof

Coatings for Carbon Materials

S.G. Andryushin, A.V. Kasatkin, andA.E. Bekhly 479

PART IV—CHEMICAL VAPOR INFILTRATION

AND INTERFACIAL COATINGS

CVD and CVI Processes of Carbon Materials

P. Delhes 486

Thermodynamic Analyses ofthe Chemical Vapor Infiltration in the B-N-Si

and B-N-P Systems

M. Leparoux, Y. Boussant, and L. Vandenbulcke 496

Constitution and Deposition Mechanism of Hexagonal Boron Nitride

Formed by CVD from TrimethylborazineA. Jorg, E. Zimmermann, M. Schierling, Cremer, R, and D. Neuschiitz 504

Enhanced Deposition of C from C2H4-H2 Mixtures in the Presence of Chlorosilanes

S.V. SotirchosandI.M. Kostjuhin 512

Finite Element Modeling of Chemical Vapor Infiltration

C. Descamps and G.L. Vignoles 520

A Two-Dimensional Model of Chemical Vapor Infiltration with Radio

Frequency HeatingV. Midhas andD.J. Economou 528

Optimization of CVI Processes

J.Y. Ofori and S.V. Sotirchos 536

Conditions for Maximum Centerline Deposition Rates in Composites Fiber

Coating by Chemical Vapor Infiltration

S.K. Griffiths and R.H. Nilson 544

Preparation of Gas-Permeable SiC Shape by Pressure-Pulsed Chemical

Vapour Infiltration into Carbonized Cotton-Fibre Preforms

K Ohzawa, A. Sadanaka, and K. Sugiyama 552

Development of a Scaled-Up CVI System for Tubular Geometries

T.M. Besmann, J.C. McLaughlin, K.J. Probst, T.J. Anderson and T.L. Starr 560

Recent Advances in Rapid Dendification ofThick, Refractory Composites byInductively-Heated, Thermal-Gradient, Flowing-Gas, Isobaric, Chemical Vapor Infiltration

I. Golecki 568

xi

Page 7: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

Chemical Vapor Deposition of Sn02 Films Within the Pores of Vycor Substrates

for the Control of Their Porosity

E.A. Magoulianiti, K. Beltsios, D. Davazoglou, G. Romanos, andN. Kanellopoulos 576

Continuous Coating of Ceramic Fibres by Industrial-Scale Laser

Driven CVD: Process Characterisation

V Hopfe, B. Dresler, K. Schoenfeld, R. Jaeckel, and O. Throl 584

Kinetics of Chemical Vapor Depostion of Titanium Nitride

N. Popovska, S. Poscher, P. Tichy, G. Emig, andH. Ryssel 592

MOCVD of Noble Metal on Micro Fibers

B. Gmehling, G. Diesner, K. Holeman, and G. Emig 600

Chemical Vapor Deposition of Hafnium Carbide on Carbon Substrates

V. Wunder, N. Popovska, and G. Emig 608

PARTV—DIAGNOSTICS AND CHARACTERIZATION

In Situ Optical Diagnostics of Surface Chemistry and Film Growth

P. Hess 616

Characterization and Control of the LPCVD Polysilicon Using EllipsometryL. Asinovsky, M. Schroth, F. Shen, and J. Sweeney 628

Thin Film Measurements by Spectroscopic Ellipsometry Over an Extended Range

J.N. Cox, J.M. Hutchinson, K.K.D. Lee, B. Sheridan, R. Wong, andl.-C.J. Yang 636

GaAs Plasma Processing Monitored by In Situ EllipsometryM. Losurdo, P. Capezzuto, and G. Bruno 644

Emission Infared Spectroscopy as an In-Situ Probe of CVD at High Gas Pressures:

The Epitaxial Silicon CVD

P. Christopher and C.G. Takoudis 652

Gas-Phase FTIR Analysis and Growth Kinetics of Ti02 in a Hot Wall LP-MOCVD Reactor

G.A. Battiston, R. Gerbasi, M. Guerra, andM. Porchia 660

In-Line Metrology: Implementation of a Novel FTIR Thickness Monitor

in the EPI Centura Silicon Deposition Cluster Tool

A. Waldhauer, P. Rosenthal, S. Charpenay, W. Zhang, and P. Comita 668

In-Situ Raman Spectroscopy During Laser-Induced Chemical Vapor Depositionof Silicon and Silicon Carbonitride Thin Films

W.F.A. Besting, A. Goossens, and J. Schoonman 676

Analysis of Condensed Exhaust Byproducts from Atmospheric Pressure Silicon Epitaxy

P. Comita, D. Carlson, Y. Chang, K. Klink, A. Waldhauer, andR. Ranganathan 684

Correlation Between the Spectroscopic Emission Behavior of an ArgonTetramethysilane Radiofrequency Plasma and the Deposits

M. Andrieux, J.M. Badie, C. Bisch, andM. Ducarroir 693

xii

Page 8: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

A Study of Remote Plasma-Assisted CVD of Aluminium and Gallium OxynitrideFilms by In Situ Optical Emission Spectroscopy

A. Kovalgin andN. Lermontova 701

Gas Temperature Profile in a Microwave PACVD Reactor From H2 Molecular

Rotational Lines

M. Andrieux, J.M. Badie, C. Bisch, and M. Ducarroir 709

Holographic Interferometry of Temperature Distribution in a RTP Reactor

Y.P. Rainova, K.I. Antonenko, J. Pezoldt, A. Schenk, and G. Eichhorn 717

Formation of InAs/GaSb and GaSb/InAs Interfaces

A. Tahraoui, M. Nouaoura, J. Bonnet, L. Lassabatere, F. Touhari,

G. Leveque, and P. Girard 725

Effect of Tellurium on InAs/GaSb(100) Interfaces

A. Tahraoui, M. Nouaoura, M. Rouanet, J. Bonnet, L. Lassabatere, F. Touhari,

and P. Girard 733

Ion Beam Analysis of Ternary Silicides Me-Si-N (Me=Re,Ta,Ti,W) Thin Films

Used as Diffusion Barriers in Advanced Metallization

R. Somatri-Bouamrane, N. Chevarier, A. Chevarier, A.M. Dutron, E. Blanquet,and R. Madar 741

Surface Roughness Evaluation of CVD Doped Oxide Films for Advanced

Deep Sub-Micron Semiconductor ApplicationsM. Ilg, R. Ploessl, J. Stuber, R. Conti, D. Cote, J. Gambino, D. Tobben,

and M. Kirchoff. 749

Ce02 Thin Films on Single Crystal YBa2Cu307 Substrates: The Effect of

Ce02 Film Thickness on the Surface MorphologyM. Becht, J.G. Wen, T. Morishita, andK. Tanabe 756

AFM Observations of the Evolution of the Surface Morphology in MOCVD: Zr02 LayersG. Garcia, A. Figueras, L. Vazquez, J.M. Albella, and J. Llibre 764

CVD Growth of TTF-TCNQ Thin Films on KC1 (001): Mechanisms of Texture

J. Caro, J. Fraxedas, andA. Figueras 772

(AIN-Si3N4) CVD Codeposits Oxidized at High Temperature:An Electron Microscopy Study

A. Mazel, P. Marti, R. Fourmeaux, A. Armas, and C. Combescure 780

Electrical and Structural Characterization of Sn02-APCVD Thin Films

A.I. Kleps, G.A. Battiston, R. Gerbasi, P. Guerriero, and C. Podaru 788

Optical Properties of Undoped, Phosphorous Doped and Oxidized LPCVD

Polycrystalline Silicon Films Obtained by Transmission and FTIR Measurements

D. Davazoglou, D. Kouvatsos, and E. Valamontes 796

Optical Properties of Thin Films Bi2Te3/Si02/Si and Bi2Te3/Si by HWEE.H. Kaddouri, F. Mahoukov, S. Charar, X. Gratens, S. Benet,

M. Ferhat, S. Sal Carso, and J.C. Tedenac 804

xiii

Page 9: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

The Optical and Structural Properties of Ta205 Films Produced by MOCVDH. Li, W.A. Dawson, J. Roose, B.D. Hoppert, G. Grobe, and E. Leibenguth 811

Relation Between the Lattice Constant and the Chemical Compositionof Ti(NCO) Layers Produced by PACVD Method

J.R. Sobiecki and J.R. Wierzchon 817

PARTVI—METALLORGANIC CHEMICAL VAPOR DEPOSITION -

GENERAL TOPICS

Design of Precursors for Conventional and Aerosol-Assisted CVD of

Electroceramics Based on Oxides

L.G. Hubert-Pfalzgraf 824

New Volatile Cluster Niobium Thio-Complexes as Precurses for CVD Process

M.N Sokolov, OA. Geras'ko, A.P. Majara, P.P. Semyannikov,

V.M. Grankin, S.V.Belaya, andl.K. Igumenov 836

Second-Generation Metal Precursors: New Volatile Thermally Stable

Metal P-Diketonate Glyme Adducts and Some Challenging MOCVD Applications

G. Malandrino andl.L. Fragalla 844

Structural Approaches to the Synthesis of the Heterometallic Complexes Useful in CVD

A.A. Drozdov and S.I. Troyanov 852

Barium Dipivaloylmethanate as a Promosing Precursor for CVD

A.F. Bykov, A.E. Turgambaesa, and I.K. Igumenov 857

Chalcogenide Carbonyl Clusters H2Fe3X(CO)9 (X=Se, Te) and Their

Mo- and W-Substituted Heterometal Derivatives: the New Precursors

for Functional Materials Synthesis by CVD

S.N. Konchenko, I.K. Igumenov, P.P. Semyannikov, and V.M. Grankin 865

l,10-phenantrolinetris[pentanedionato-2,4] Cerium(III) as a MOCVD Precursor

R. Nichiporuk, N. Kuzmina, I. Korsakov, A. Molodyk, andA. Ilyukhin 872

Volatile Lathnide Diethyldithiocarbamates as Precursors for Lanthanide

Sulfide Film Deposition

N.P. Kuzmina, R. Ivanov, S. Paramonov, andL.1. Martynenko 880

Packing in Crystal Structures of Metal b-Diketonates Used in MOCVD

and its Correlation to Volatility

S.I. Troyanov, N.P. Kuzmina, I.E. Soboleva, andN.V. Chugarov 886

A New Approach to Modeling of Evaporation Kinetic of Volatile Coordination

Compounds Used as MOCVD Precursors

N. Kuzmina, T. Chemleva, E. Isaeva, I. Ijina, I. Korsakov, N. Chugarov, and G. Wahl 893

Study by In Situ FTIR Spectroscopy ofthe Roles of Homogeneous and

Heterogeneous Reactions in the Decomposition ofPrecursors

for the MOCVD of High Temperature SuperconductorsG.G. Condorelli, M.L. Hitchman, A.Y. Kovalgin, andS.H. Shamlian 901

XIV

Page 10: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

Reaction Chemistry of InGaAsP MOCVD Studied with FTIR Gas

Monitoring and Numerical Analysis on Growth Kinetics

M. Sugiyama, Y. Shimogaki, S. Sudo, Y. Nakano, K. Sugawara,

K. Tada, andH. Komiyama 909

Ozone Chemistry in Atmospheric Pressure Chemical Vapor Depositionof Silicon Dioxide Using Tetraethoxysilane and Ozone

K. Ikeda andM. Maeda 917

Surface Diffusion Enhancement by Heterovalent Doping in MOCVD of Oxide Films

I.E. Graboy, A.R. Kaul, N.V. Markov, V.V. Maleev, I.E. Korsakov,

O.Y. Gorbenko, and A.A. Molodyk 925

MOCVD of Metal and Metal Dioxide Films of Ruthenium and

Osmium Using a Volatile Precursor

Y. Senzaki, D. Colombo, W.L. Gladfelter, and F.B. McCormick 933

Carbon-Free Cr Metal Thin Films Deposition at Low Temperature by MOCVD

F. Maury, L. Gueroudji, C. Vahlas, S. Abisset, andL. Pelletier 944

Vanadium Oxide Thin Films From Vanadyl Precursors

D. Barreca, L. Depero, E. Franzato,G. A. Rizzi, L. Sangaletti,

E. Tondello, and U. Vettori 952

MOCVD Of Zirconia Films Using Beta-Diketonates

M. PulverandG. Wahl 966

W03 LPCVD Thin Films for Integrated Gas Sensor ApplicationsD. Davazoglou, K. Georgouleas, A. Moutsakis, E. Valamontes, andD. Tsamakis 968

Deposition and Microstructure of Thin MOCVD Zirconia and Ceria Films

M. Morstein, I. Pozsgai, andN.P Spencer 976

Low Temperature Chemical Vapor Deposition of Group-III-NitridesR.A. Fischer and W. Rogge 984

MOCVD of RBa2Cu307_§ (R=Lu, Ho, Y and Gd) Superconducting Thin Films

S.V. Samoylenkov, O.Y. Gorbenko, A.R. Kaul, S.V. Papucha,N.A. Mirin, V.V. Maleev, I.E. Graboy, A.R. Kuzhakhmetov, andS.A. Zhgoon 990

Study ofYBa2Cu307.y Films by Metalorganic Chemical VaporDeposition Using Liquid Sources

Y. Yoshida, Y. Ito, Y. Yamada, H. Nagai, Y. Takai, I. Hirabayashi, and S. Tanaka 998

Synthesis ofYBa2Cu307.x Tapes for High Current Applications by MOCVD

U. Schmatz, F. Weiss, L. Klippe, O. Stadel, G. Wahl, D. Selbmann,M. Krellmann, L. Hubert-Pfalzgraf, H. Guillon, J. Pena, andM. Vallet-Regi 1005

Deposition of BaTi03 Layers by Injection MOCVDF. Weiss, J. Lindner, J.-P. Senateur, B. Ploss, L. Hubert-Pfalzgraf, and S. Daniele 1013

Preparation of Ferrite Thin Films by PE MOCVD Process and InvestigationofTheir Properties

VP. Ovsiannikov, G.V. Lashkarev, Y.A. Mazurenko, andM.E. Bugaeva 1020

XV

Page 11: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

ZnTe and CdTe Epitaxial Growth by MOCVD using Plasma Hydrogen Radical

Y. Hatanaka, D. Noda, M. Niraula, T. Aoki, and Y. Nakanishi 1027

Organometallic CVD of 6-CoGa and Coin Thin Films from

Organometallic Single Source Precursors

R.A. Fischer and W. Rogge 1034

PARTVII—DEPOSITION OF FERROELECTRICMATERIALS FROM METALLORGANIC PRECURSORS

MOCVD of Ferroelectric CapacitorsS.B. Desu, S. Ramanathan, and Y. Zhu 1043

MOCVD of Ferroelectric Thin Films

C. Schmidt and E.P. Burte 1055

Chemical Vapor Deposition of High Quality (Ba, Sr)Ti03 Thin Films

Using Individual Vaporizing Liquid Source Supply SystemM. Kiyotoshi and K. Eguchi .....1063

Thin Films ofPbTi03 Deposited by Aerosol-CVD

J.L. Deschanvres and J.C. Joubert 1071

Multiwafer MOCVD Systems for Ferroelectrics

M. Deschler, E. Woelk, D. Schmitz, G. Strauch and H. Jiirgensen 7079

Epitaxial BaTi03 Films Prepared by Single-Source MetalorganicChemical Vapor Depositioan for Electro-Optical Applications

J. Zhao, V Fuflyigin, E Wang, L.D. Zhou, RE. Norris, L. Bouthilette, and C. Woods 1085

La1.xSrxCo03 Thin Film and PbTi03/La1_xSrxCo03 Heterostructures

Prepared by Single Source MOCVD

O.Y. Gorbenko, V.A. Amelichev, J.A. Rebane, andA.R. Kaul 1093

Giant Magnetoresistive Thin Films of (La,Pr)0 7(Sr,Ca)0 3Mn03 Preparedby Aerosol MOCVD

O.Y. Gorbenko, N.A. Babushkina, LM. Belova, A.A. Bosak,

V.A. Amelichev, A.R. Kaul, and G.Wahl 1101

Phase Formation in CVD-Grown SrTi03 and (BaSr)Ti03 Films

M. Yoshida, H. Yabuta, S. Sone, H. Yamaguchi, K. Arita, andY. Kato 1109

The Relationship Between Deposition Characteristics and Propertiesof SrTi03 Films in ECR-PEMOCVD Process

J.S. Lee, J.S. Bae, H.W. Song, B.H. Jun, J. Gorman, Z.-T. Jiang, andK. No 1117

PARTVIII—DIELECTRIC MATERIALS

Dielectric Materials and Insulators for Microelectronics

H. Treichel, G. Ruhl, R. Wiirl, P. Ansmann, C. Miiller, andM. Dietlmeier 1125

XVI

Page 12: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

Dielectric Oxide Nanolaminates Deposited by Atomic Layer Epitaxy

K. Kukli, M. Ritala, andM. Leskela 1137

Novel Aerosol Assisted CVD Technology For Oxide Film DepositionD. Narducci and F. Canali 1145

In Situ Growth of Oxide Thin Film Heterostructures by Band Flash

Evaporation MOCVD

A. Molodyk, M. Novozhilov, I. Graboy, O. Gorbenko, I. Korsakov, and A. Kaul 1152

Film Formation by a New CVD Process Using Ionization of TEOS

M. Adachi, T. Hayasi, T. Fujimoto, and K. Okuyama 1160

Optimization of Si02 Atmospheric Deposition in Continuous Belt Systems

M. Masi, S. Carra, G. Vaccari, and D. Crippa 1167

Thermochemistry and Composition of LPCVD Silicon Oxide Films

Grown from NH3TEOS Mixtures

C. Vahlas, D. Davazoglou, V.E. Vamvacas, and P. de Parseval 1175

Evaluation of Fluorinated Si02 Films Deposited in HDP(ICP) Reactor

for the Application of Low Dielectric Constant Inter Metal Dielectric in ULSI Circuits

A.N. Velaga, C.S. Pai, E.P. Martin, andS.C. Vitkavage 1183

Comparison of Fluorosilicate Glass Deposition Chemistries with Film PropertiesM. Shapiro, V. Rao, A. Ricci, S. Cohen, H. Gotts, and J. Wong 1191

The Analysis of Optimized Dopant Concentration Range in BorophosphosilicateGlass Films for VLSI and ULSI

V.Y. Vassiliev and J.-Z. Zheng 1199

Preparation of High Quality SiNx Films Deposited by Remote Plasma CVD

by Using TDMAS

T. Aoki, T. Ogishima, Y. Nakanishi, Y Hatanaka, andAM. Wrobel 1207

Mechanical and Elecrical Features ofAmorphous SiCxNy CVD CoatingsA. Bendeddouche, M. Nadal, A. Haidoux, andR. Hillel 1215

Deposition of SiBCN-Films from a Monomeric Borosilazane

M. Haltrich, G. Wahl, J. Arndt, andR. Suchentrunk 1223

Hydrogen Content and Permeability ofThin CVD Silicon Nitrideand Oxynitride Layers

M. Kirchhqff, D. Cote, M. Hauf, S. Nguyen, and W. Hoesler 1230

Deposition of TI02 Thin Films by Microwave Plasma MOCVD Method

K. Itoh, K. Matsumoto, and O. Matsumoto 1238

Low Temperature CVD OF Tantalum Oxide Films

X. Li, M.L. Hitchman, and S.H. Shamlian 1246

xvii

Page 13: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

PART IX—ELECTRONICAND OPTICAL MATERIALS

Chemical Vapor Deposition of Column IV Heterostructures: Growth and

DeviceApplicationsJ.L. Hoyt, TO. Mitchell, K. Rim, D. Singh, and IF. Gibbons 1254

Study of PbEuSe/PbSe/CaF2/Si(lll) MBE Heterostructures

G. Breton, M. Naouaoura, C. Gautier, M. Cambon, X. Gratens, S. Charar,

M. Averous, andF. Touhari 1266

Effect of In Situ H2 Plasma Pretreatment on the Low Temeprature Microcrystalline(|ic-Si:H) Silicon Film Deposited on Amorphous Si02 and SiNx:H Surfaces

Y-B. Park andS.-W Rhee 1274

Structural and Optical Characterization of Polycrystalline Silicon Films Depositedby Single Wafer CVD

A. Sassela, A. Borghesi, G. Amore, G. Tallarida, S. Spiga, F. Cazzaniga,G. Ferroni, and G. Queirolo 1282

Restructuration-Doping Process Near the Poly Si/Si02 Interface on Silicon

During the Phosporus Diffusion

F Gaiseanu, C.A. Dimitriadis, J. Stoemenos, C. Postolache, D. Tsoukalas,

D. Kruger, andE. Tsoi 1289

Remote-PECVD of aSi:H and muc-Si:H Films Using Alternative Doping Sources

R. Tews, G. Suchaneek, and A. Kottitz 1297

Remote-PECVD of Nanocrystalline Silicon Films by Alternating Depositionand Hydrogen Etching Sequences Using a VHF Resonant Plasma Source

G. Suchaneek, M. Albert, T Blum, and A. Kottwitz 1305

Hydride Gas Generator

R. Gordon and F. Chen 1313

A Study of Etching Mechanism in Silicon-HCl System Using Fast Wafer Rotation

T. Kataoka, Y Sato, and T Ohmine 1319

Photoluminescence of SiGe QuantumWells Grown by an Ultraclean LPCVD

System and Heat-Treated

K. Fujinaga and M. Shibuya 1327

Atomic Layer Control of Phosphorus Doping During LP(RT)CVD of SigeB. Jillack, J. Schlote, D. Wolansky, D. Kruger, and G. Ritter 1333

Deposition of LPCVD Amorphous Silicon Thin Films With a Low Content

of Germanium: Crystallization and Electrical Characteristics,"D. Briand, K. Kis-Sion, T Mohammed-Brahim, M. Sarret, F. Le Bihan,L. Haji, and O. Bonnaud 1340

Selective Epitaxial Growth of SiGe Films in LPCVD Reactor Systems-Characterization of SiGe Films by Ellipsometry

l.M. Lee, W.C. Wang, M.T.K. Koh, J.R Denton, C.G. Takoudis, E.R Kram,

and G.W. Neudeck 1348

xviii

Page 14: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

Phosphorus Doping Effects on Si!_xGex Epitaxial Film Growth in the

SiH4-GeH4-PH3 Gas System Using Ultraclean LPCVD

C.J. Lee, M. Sakuraba, M. Ishii, T. Matsuura, J. Murota, I. Kawashima,

andN. Yabumoto 1356

Furnace Silicon Oxynitridation in Nitrous Oxide Ambients

S. Singhvi and C.G. Takoudis 1364

Monolayer Scale Analysis of Ga and As Distributions in MOVPE-Grown

InP/InGaAs/InP Single Quantum-Wells by X-Ray CTR SpectroscopyY Takeda, M. Tabuchi, H. Hamamatsua, and S. Ichiki 1372

Studies on Lattice Match and Internal Strain Aspects of GaxIn]_xAsyPi_yQuaternary System Grown on InP Substrate

V.N Mani 1379

Controlling Arsenic Autodoping Via Clustered HF Pre-Clean and Low-

Temperature Epitaxial Deposition CapR. Wise, F.S. Johnson, D.F. Frystak, K.E. Vwlette, N.E. Tidwell, andE. Forest 1386

Precursors in a Deposition of GaN Thin Films by Microwave Plasma MOCVD

N. lhashi, K. Itoh, and O. Matsumoto 1394

High Density Nucleation of Diamond on Mirror-smooth Si via Hot Filament CVD

Z.Lin 1402

Initial Stages of 6-SiC Growth by Chemical Vapor Deposition on an Ultrathin

SiC Buffer Layer on Si(100)

G. Ferro, V. Thevenot, H. Vincent, Y. Monteil, and J. Bouix 1409

Low Temperature Deposition of SiC Thin Films on Polymers Surface by ECRPlasma CVD

K. Sano, M. Nomura, H. Tamamaki, andY. Hatanaka 1417

ALE Growth of SrS^ Thin Films by Substituting Surface Sulfur with

Elemental Selenium

J. Ihanus, M. Ritala, andM. Leskeld 1423

Single-Source CVD Precursors for CdS/Cu(I) Thin Solid Films and

CdS-Cu2S Heterostructures

S.M. Zemskova, S.V. Sysoev, L.A. Glinskaya, R.F. Klevtsova,

S.A. Gromilov, and S.V. Larionov 1429

PECVD CdS and Cu2S Thin Films From Sulphur-Containing Single-Source Precursors

N. Fainer, Y.M. Rumyantsev, M.L. Kosinova, and F.A. Kuznetsov 1437

Modeling and Experimental Validation ofZnS and ZnSe Epitaxial Growth• in a Vertical MOVPE Reactor

C. Thiandoume, D. Angermeier, and O. Gorochov 1443

Study ofthe Phase States of Zn-Eu-S Thin Films Obtained by CVD Method

V.G. Bessergenev, E.N. Ivanova, Y.A. Kovalevskaya, andI.G. Vasilieva 1451

xix

Page 15: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

Plasma-Enhanced Chemical Vapour Deposition ofAmorphous AsxSE100.x Films

P Nagels, R. Callaerts, and RMertens 1459

Modified Plasma CVD in Atmospheric Environment

R. Moss, R. Lewis, J. Olson, D. Hunt, andX.W. Wang 1467

CVD of Tungsten Oxides

P. Tagstrom, H. Hogberg, and U. Jansson 1475

Preparation and Characterization ofW03 - Based Electrochromic Cell SystemD.S. Gogova and K.A. Gesheva 1482

Deposition ofV205 Storage Layers for Electrochromic Devices by PE-MOCVD

C.IMA. Spee and G. Kirchner 1490

YxBayCuz07 Solid Solutions and Oxygen Treatment

G.D. Nipan, T.N. Kol'tsova, K.S. Gavrichev, and P. Manca 1498

Structure and Morphology of Doped Lanthanum Manganites Grown by LD-MOCVD

E.S. Gillman, M. Carris, C. Smith, andK.-H. Dahmen 1506

PART X—CHEMICALVAPORDEPOSITION OF METALS

Chemical Vapor Deposition Copper Interconnections and ElectromigrationC.-K. Hu and S.K. Reynolds 1514

Cuprisation of Polymide and Polyetherimide by Chemical Vapour DepositionM.L.H. terHeerdt, P.J.J.M. van derPut, A. Goossens, A.D. Kuijpers,and J. Schoonman 1524

Cu(thd)2 as Copper Source in Atomic Layer EpitaxyP. Mdrtensson andJ.-O. Carlsson 1529

Thermal Conversion of Copper (II) 6-Diketonates on the Surfaces of Different TypesA.E. Turgambaeva, A.F. Bykov, and IX. Igumenov 1537

Plasma Assisted Chemical Vapor Deposition of Aluminum for ULSI Metallization

D.-C. Kim, B.-Y. Kim, B.-L Lee, andS.-K. Joo 1545

FT-IR Diagnostics of Gas Phase Reactions and Effect of Carrier Gases in the Metal-

Organic Chemical Vapor Deposition ofAluminum from Dimethylethylamine Alane

J.-Y. Yun, M.-Y. Park, andS.-W. Rhee 1551

The Barrier Characteristics ofChemical Vapor Deposited Amorphous Tungstenwith In Situ Nitrogen Plasma Treatment

K-M. Chang, I-CDeng, T-H. Yeh, C-W. Shih, andS-C. Chien 1559

Programmed Rate and Optimal-Control Chemical Vapor Deposition of TungstenJ. Kristof, L. Song, K. Tsakalis, and T. S. Cale 1566

Kinetic Regularities of Chemical Vapor Deposition of Tungsten with V-VII

Group Metals

Y.V. Lakhotkin 5174

XX

Page 16: CHEMICAL VAPOR DEPOSITIONEnhancedDepositionofCfromC2H4-H2Mixturesin thePresenceofChlorosilanes S.V. SotirchosandI.M. Kostjuhin 512 Finite Element Modeling of Chemical Vapor Infiltration

Morphology and Carbon Content of Nickel Films Deposited from Nickelocene

L. Brissonneau, A. Reynes, and C. Vahlas 1580

An XPS Study of the Influence of Iridium CVD Conditions on the Film Composition

N. Gelfond, A. Boronin, M. Smirnov, R. Kvon, and I. Igumenov 1588

A Thermodynamic Approach to Chemical Vapour Deposition of Niobium in

Nb- I-He System

A. Golubenko, S.V. Sysoev, Y.G. Stenin, andA.A. Titov 1596

Fabrication of Thin Palladium Membranes by Chemical Vapor Deposition

G. Xomeritakis and Y.S. Lin 1604

Platinum 3D Micropatterns Formed on Substrate by LCVD with Use of

Powerful Nanosecond UV Pulsed Laser

E.F. Reznikova, V.V. Chesnokov, G.I. Zharkova, andI.K. Igumenov 1610

Thick Platinum Metal Coatings Obtained by MOCVD

I.K. Igumenov, N.V. Gelfondand R.J. Tliffias 1618

The Effect of In-Situ N2/H2 Plasma Treatment on the Properties ofChemicallyVapor Deposited TiN

D.-H. Kim, J.W. Park, and J.J. Kim 1626

Subject Index 1635

Author Index 1645

xxi