Capitulo 01.Logica Programavel Revisto

Embed Size (px)

Citation preview

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    1/29

    SISTEMAS DIGITAIS

    Curso de Engenharia Eléctrica

    Capítulo 1

    Tema:

    Lógica programávelAula

     

    6/1/16

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    2/29

    1.0:Introdução

    Lógicaprogramável

    S"LD

    "AL GAL

    C"LD

    "LA

    #"

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    3/29

    1.1 SPLD: O Dispositivo PAL

    Um dispositivo PAL ( programmable array logic) cons

    um arranjo programável de portas AND que se conearranjo fixo de portas OR. tecnologia de conexão a fuportanto, são programáveis uma vez (O!".

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    4/29

    #igura $%$&'mplementaão de uma expressão na forma desoma%de%produtos usando um dispositivo !)*

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    5/29

    $ dispositivo GAL é essencialmente um dispositivo "AL %ue pode sereprogramado& Ele tem o mesmo tipo de organi'a()o A*D+$, %ue odispositivo "AL& A di-eren(a .ásica é %ue um dispositivo

    GAL usa uma tecnologia de processo reprogramável/ como uma EE"0E1CM$S2/ em ve' de -us3veis2&

    1.2. SPLD: O Dispositivo GAL

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    6/29

    1.3.Notação Simplificada para Diaramas PAL!"A

    Os actuais dispositivos !)* e +)* tm muitas portas )- e Ooutros elementos e são capazes de manipular muitas variávecomplementos. ) maioria dos diagramas de !)* e +)* que podnos datasheets  usam uma notaão simplificada, para fazer cdiagrama esquemático fique menos complicado.

     )s variáveis de entrada para um dispositivo !)* ou +)* tm g

    buffers para evitar carregamento por um grande n0mero de enportas )- nas quais elas são conectadas.

     O sím1olo do tri2ngulo no diagrama representa um buffer   qvariável e o seu complemento. )s conex3es fixas das vaentrada e buffers são mostrados usando notaão de ponto pad

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    7/29

     )s conex3es programáveis num arranjo são indicadas no diagrama por umlaranja no ponto de cruzamento para um fusível intacto ou outro tipo de conausncia de um 4 para um fusível a1erto ou outro tipo de conexão. ) #igura

    funão l5gica  AB + AB + A B programada.

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    8/29

    1.#.Diarama $m %loco "$ral d$ Dispositivos PAL!"A

    &acroc'lula consiste geralmente em uma porta OR e alguma

    saída associada. )s macroc/lulas variam em complexidade dedo tipo particular de !)* ou +)*. Uma macroc/lula pode ser cpara l5gica com1inacional, l5gica registrada ou uma com1am1os. ) l5gica registrada significa que existe um flip%flop na mpara prover uma funão l5gica sequencial.

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    9/29

    Diagrama em .loco geral de um dispositivo "AL ouGAL

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    10/29

    #igura $%6& ipos 1ásicos de macroc/lulas !)*7+)* para l5gicacom1inacional.

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    11/29

    #igura 456Diagrama em .loco lógico de um dispositivo "AL 578 e umencapsulamento S"LD t3pico

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    12/29

    (PLD )Complex programmable logic device*

    (PLD )complex programmable logic device ) –consiste 1asicamente em m08!*s com interconex3es programáveis, 9m1ora a forma com que as

    organizadas internamente varie com o fa1ricante, a #igura a seguir represegen/rico.

    Referimo%nos a cada arranjo 8!* num :!* como um *); (logic array blarranjo lgico)! Algumas ve"es são usadas outras designa3es, como blbloco lgico ou bloco gen$rico!

     As interconex%es programáveis são geralmente denominadas !') ( 

    interconnect array – arranjo de interconex3es programáveis" em1ora alguntal como 4ilinx, usem o termo )'< (advanced interconnect matrix interconex%es avan&adas) ou uma designa&'o similar!

    Os *);s e as interconex3es entre *);s são programados por soft=are. User programado para fun3es l5gicas complexas 1aseadas em estruturasprodutos de *);s individuais (na realidade 8!*s". 9ntradas podem ser qualquer um dos *);s, sendo que suas saídas podem ser interconectada

    outros *);s via !').

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    13/29

     ) maioria dos fa1ricantes de dispositivos l5gicos programáveuma s/rie de :!*s que variam em densidade, tecnologia de

    consumo de potncia, tensão de alimentaão e velocifa1ricantes geralmente especificam a densidade de um :termos de macroc/lulas ou 1locos de arranjo l5gico. )s dpodem variar de dezenas de macroc/lulas a valores al/mmacroc/lulas em encapsulamentos com at/ algumas cenpinos. @ medida que as !*s se tornam mais comp

    densidades máximas aumentam. ) maioria das :!reprogramáveis e usam tecnologia de processo de 99!RO< para as conex3es programáveis.

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    14/29

    iagrama em 1loco 1ásico de um :!* gen/rico

    Arran9o deintercone:;es programáveis

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    15/29

    SISTEMAS DIGITAIS

    Curso de Engenharia Eléctrica

    Capítulo 1

    Tema:

    Lógica programávelAula 1

     

    6/1/16

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    16/29

    1.+.PLA )arranjo lgico program(vel *

     ) arquitetura de um :!* / a forma na qual os elementos in

    organizados e com1inados. ) arquitetura da família :oolRu4ilinx / 1aseada na estrutura de um !*) ( programmable loarranjo lgico program(vel) em ve" de um A* lgica

    programável".

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    17/29

    #igura $%A&:omparaão entre dispositivos !*) e !)* 1ásicos

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    18/29

    1.,.L-"I(A PO"A&/L: 2P"As

    ;asicamente, o dispositivo #!+) (field programmable gate array – arranjo de portas progde campo) possui uma ar,uitetura diferente (não faz uso de arranjos do tipo !)*7!*)" e muito maiores que os dispositivos :!*s.

    Os trs elementos 1ásicos de um #!+) são&

    B  o 1loco l5gico configurável (:*;",

    B as interconex3es e

    B os 1locos de entrada7saída ('7O".

     Os 1locos l5gicos configuráveis (:*;s" em um #!+) não são tão complexos quanto os *por/m geralmente a quantidade de :*;s / 1em maior.

    -os casos em que os :*;s são relativamente simples, a arquitetura do #!+) / denomgranula&'o fina)!

    Nos casos em ,ue os -*Bs s'o maiores e mais complexos# a arquitetura / denominagranula&'o grossa)! Os 1locos de '7O em torno do perímetro da estrutura prov entradas1idirecionais ao mundo externo, selecionáveis individualmente. ) matriz distri1uída programáveis prov a interconexão entre :*;s e a conexão C entradas e saídas.

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    19/29

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    20/29

    1.,.1.%locos Licos (onfiur4v$is )(L%*

    ipicamente, um 1loco l5gico de um #!+) consiste em vários m5dulos l5gicosos quais são as unidades construtivas 1ásicas, que por sua vez são aná

    macroc/lulas de um dispositivo :!*. ) #igura $E$? mostra os 1lococonfiguráveis (:*;s" fundamentais dentro das interconex3es programáveis glinFa7coluna que são usadas para interconectar 1locos l5gicos. :ada :*; / fom0ltiplos m5dulos l5gicos menores e uma interconexão programável local quepara interconectar m5dulos dentro de uma :*;.

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    21/29

    #igura $%$?. ;locos l5gicos configuráveis 1ásicos(:*;s" dentro deinterconex3es programáveis glo1ais em linFa7coluna.

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    22/29

    1.7.2.Módulos Lógicos

    5m mdulo lico em um 1loco l5gico de #!+) pode ser configurado comcom1inacional, l5gica registrada ou uma com1inaão de am1as. Um flip%flop / l5gica associada e / usado na l5gica registrada.. ) #igura (a1aixo" mostra o d

    em 1loco de um típico m5dulo l5gico 1aseados em *U. :onforme sa1emos, u(ta1ela de 1usca" / um tipo de mem5ria programável usada para gerar fun3escom1inacionais de soma%de%produtos. ) *U faz essencialmente o mesmo que um !)* ou !*).

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    23/29

    :ontinuaão&

    +eralmente, a organizaão de uma *U consiste em um n0mero de mem5ria igual a >.n# onde n $ o n/mero de vari(veis de entrad

    exemplo# tr0s entradas podem selecionar at$ A c/lulas de mem5riuma *U com trs variáveis de entrada pode produzir uma expressoma%de%produtos com at/ oito termos%produto.

    !ode ser programado um padrão de $s e ?s nas c/lulas de mem5*U, conforme ilustra a #igura a seguir para uma funão de somaprodutos específica.

     :ada nível $ significa o termo%produto associado que aparece nasoma%de%produtos, e cada ? significa que o termo%produto associaaparece na saída de soma%de%produtos. ) expressão resultante dasoma%de%produtos /&

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    24/29

    O conceito 1ásico de uma *U programada como uma determinadasaída de somade%produtos.

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    25/29

    2P"As %as$adas $m SA&

     )s #!+)s são dispositivos não%voláteis nos casos em que são 1aseadosantifusível ou são voláteis quando são 1aseados em tecnologia 8R)

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    26/29

    #igura $%$I.:onceitos 1ásicos de configura3es de #!+) volátil.

    :ont.

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    27/29

    Uma vantagem da a1ordagem de n0cleo rígido / que o mesmo projeto pode ser usando 1em menos da capacidade disponível do #!+) do que se o usuário programno cFip, resultando em menos espao (G1ens im5veisH" e menos tempo de desenvolvusuário. )l/m disso, as fun3es de n0cleo rígido são completamente testadas. ) den0cleo rígido / que as especifica3es são esta1elecidas durante a fa1ricaão, sendotem que usar a l5gica de n0cleo rígido Gcomo ela /H. 9la não pode ser alterada posten0cleos rígidos são geralmente comercializados com fun3es que normalmente sãsistemas digitais, tal como um microprocessador, interfaces padrão de enprocessadores de sinais digitais.

     

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    28/29

    #unão de n0cleo rígido em1utida em uma #!+)&

  • 8/16/2019 Capitulo 01.Logica Programavel Revisto

    29/29

    $ temor do Senhor é o princ3pio da sa.edoria& Provérbios :1!a