74
Circuitos combinacionales Sistemas Electrónicos Digitales Universidad Rey Juan Carlos Ingeniería de Tecnologías Industriales Norberto Malpica [email protected] Bloques combinacionales estándar CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINE LLAMA O ENVÍA WHATSAPP: 689 45 44 70 - - - ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTS CALL OR WHATSAPP:689 45 44 70 www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud al Artículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002. Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Bloques combinacionales estándar

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Bloques combinacionales estándar

Circuitos combinacionales 1 Sistemas Electrónicos Digitales

Universidad

Rey Juan Carlos

Ingeniería de Tecnologías Industriales

Norberto Malpica

[email protected]

Bloques combinacionales estándar

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 2: Bloques combinacionales estándar

Circuitos combinacionales 2 Sistemas Electrónicos Digitales

1.  Introducción 2.  Codificadores 3.  Decodificadores 4.  Multiplexores 5.  Demultiplexores 6.  Desplazadores 7.  Dispositivos Lógicos Programables

7.1 Memorias ROM. 7.2 PLA y PAL.

8.  Comparadores Binarios 9.  Sumadores Binarios. 10.  Restadores Binarios. 11.  Unidad aritmético lógica combinacional (UAL, ALU).

Contenido

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 3: Bloques combinacionales estándar

Circuitos combinacionales 3 Sistemas Electrónicos Digitales

En los circuitos combinacionales la salida Z en un determinado instante de tiempo ti sólo depende de la entrada X en ese mismo instante de tiempo ti , es decir que no tienen capacidad de memoria

Z(t) = F(X(t)) Z = F(X)

FX Z

Ejemplo: Sumador

1. Introducción a los circuitos combinacionales

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 4: Bloques combinacionales estándar

Circuitos combinacionales 4 Sistemas Electrónicos Digitales

Ü  Decodificadores y Codificadores

Ø  Decodificador: Se activa la salida correspondiente al número binario codificado en la entrada.

Ø  Codificador: Se codifica en binario sobre la salida el número de la entrada que esté activa.

2n COD n

enable

DECOD 2n n

enable

Ü  Multiplexores y Demultiplexores

Ø  Multiplexor: La salida corresponde a la entrada codificada por las señales de control

Ø  Demultiplexor: El valor de la entrada sale por la salida codificada por las señales de control

n

2n MUX

enable

s

n

2n DEMUX

enable

E

Introducción a los circuitos combinacionales

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 5: Bloques combinacionales estándar

Circuitos combinacionales 5 Sistemas Electrónicos Digitales

Niveles de descripción de un circuito digital

Ø  Nivel algorítmico o comportamental: describe la función

Ø  Nivel RTL (álgebra de señales, tabla de verdad)

Ø  Nivel estructural (lógico o esquemático)

Ø  Nivel conmutador (circuital y eléctrico)

Introducción a los circuitos combinacionales

Requisitos y especificaciones

Arquitectura Nivel RT

Diseño Lógico Puertas

ELÉCTRICO

Funcionalidad

Niv

eles

de

abst

racc

ión

Prec

isió

n / C

ompl

ejid

ad

- + CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 6: Bloques combinacionales estándar

Circuitos combinacionales 6 Sistemas Electrónicos Digitales

El codificador identifica qué entrada de las 2n está activa y genera como salida su representación binaria, siempre y cuando el módulo esté activo.

Un codificador tiene 2n entradas de datos xi y n salidas de datos yj y una salida A

Ø  Si E está inactivo, todas las salidas inactivas.

Ø  Si E está activo y todas las entradas de datos xi están inactivas, todas las salidas (yj y A) permanecen inactivas.

Ø  Si se activa la entrada de datos xi y E está activo:

•  Las salidas yj componen el número i codificado en binario.

• Se activa la salida A.

2. Codificadores

Expresión de conmutación: ∑⋅= jy xEi

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 7: Bloques combinacionales estándar

Circuitos combinacionales 7 Sistemas Electrónicos Digitales

La salida de actividad A se define como: ⎩⎨⎧ ==

= casos de resto

HEy algún x si i

LHH

A

El comportamiento del codificador sin prioridad es:

∑−

=

⋅=1

02

n

j

jjyY

⎪⎩

⎪⎨

≠==∃

≠∀===

=

casos de resto L jicon Hx/xx, x si indefinido

ik Ly x HEy xsi

jiji

ki HiY

Codificadores

Expresión de conmutación: ∑⋅= jy xEiCLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 8: Bloques combinacionales estándar

Circuitos combinacionales 8 Sistemas Electrónicos Digitales

Ejemplo: diseño de un codificador sin prioridad de 8 a 3.

A = E · (x0+x1+x2+x3+x4+x5+x6+x7)

Y0 = E · (x1+x3+x5 +x7)

Y1 = E · (x2+x3+x6 +x7)

Y2 = E · (x4+x5+x6 +x7)

Codificadores

Funciones lógicas

Comercial: 74148

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 9: Bloques combinacionales estándar

Circuitos combinacionales 9 Sistemas Electrónicos Digitales

Ejemplo: diseño de un codificador sin prioridad de 8 a 3.

Codificadores

Descripción Estructural

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 10: Bloques combinacionales estándar

Circuitos combinacionales 10 Sistemas Electrónicos Digitales

Ejemplo: diseño de un codificador sin prioridad de 8 a 3. Simulación

Codificadores

No tiene sentido, Ao, A1, A2!!!

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 11: Bloques combinacionales estándar

Circuitos combinacionales 11 Sistemas Electrónicos Digitales

¿Qué pasa si hay de más de una entrada activa?

¿Qué aparecerá en la salida?

Los codificadores pueden encadenarse para formar codificadores con mayor número de bits.

Eout se activa cuando Ein está activo y no hay ninguna entrada de datos activa.

Si la salida Eout se conecta a la entrada Ein de otro codificador permite su encadenamiento.

Codificadores con Prioridad:

Las salidas yj codifican en binario el número correspondiente a la entrada activa con mayor peso

Codificadores con prioridad

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 12: Bloques combinacionales estándar

Circuitos combinacionales 12 Sistemas Electrónicos Digitales

El comportamiento del codificador con prioridad es:

⎩⎨⎧ >∀===

= casos los de resto L

ik y x xsi ki LHEyHiY in

⎩⎨⎧ ==

= casos los de resto L

algún x si i HEyHHA

( )⎩⎨⎧ =∀=

= casos los de resto L

HEy xsi i iLHEout

Codificadores con prioridad

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 13: Bloques combinacionales estándar

Circuitos combinacionales 13 Sistemas Electrónicos Digitales

entity COD8a3_prior is port( X : in std_logic_vector (7 downto 0); Y : out std_logic_vector (2 downto 0); A : out std_logic); end COD8a3_prior;

Codificador de 8 a 3 con prioridad

COD8a3 Con prioridad

A

X (7:0) Y (2:0)

architecture comportamental1 of COD8a3_prior is begin Y <= “111” when X(7) =‘1’ else “110” when X(6) =‘1’ else “101” when X (5) =‘1’ else

“100” when X (4) =‘1’ else “011” when X (3) =‘1’ else “010” when X (2) =‘1’ else “001” when X(1) =‘1’ else “000”;

A <= ‘0’ when DATAIN = “00000000” else ‘1’; end comportamental1; CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINE

LLAMA O ENVÍA WHATSAPP: 689 45 44 70- - -

ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 14: Bloques combinacionales estándar

Circuitos combinacionales 14 Sistemas Electrónicos Digitales

Materialización del codificador de prioridad:

El bloque de resolución de prioridades materializa las expresiones de conmutación siguientes:

Codificadores con prioridad

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 15: Bloques combinacionales estándar

Circuitos combinacionales 15 Sistemas Electrónicos Digitales

Ejemplo: codificador con prioridad de 4 a 2.

Codificadores con prioridad: ejemplo Cod4a2

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 16: Bloques combinacionales estándar

Circuitos combinacionales 16 Sistemas Electrónicos Digitales

Ejemplo: codificador de prioridad de 4 a 2.

Bloque de resolución de prioridades

Codificadores con prioridad: ejemplo Cod4a2

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 17: Bloques combinacionales estándar

Circuitos combinacionales 17 Sistemas Electrónicos Digitales

Codificador de 4 a 2 Sin prioridad

Codificadores con prioridad: ejemplo Cod4a2

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 18: Bloques combinacionales estándar

Circuitos combinacionales 18 Sistemas Electrónicos Digitales

Codificadores con prioridad: ejemplo Cod4a2

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 19: Bloques combinacionales estándar

Circuitos combinacionales 19 Sistemas Electrónicos Digitales

Un decodificador (o decodificador de n a 2n) es un módulo con n entradas y 2n

salidas, además de una señal de activación (Enable) de entrada

El decodificador activa la salida 2i- ésima cuando se presenta la combinación binaria i en las entradas, siempre y cuando el módulo esté activo. Es decir, se activa la salida correspondiente al número binario codificado en la entrada.

3. Decodificadores

El comportamiento del decodificador

Expresión de conmutación:

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 20: Bloques combinacionales estándar

Circuitos combinacionales 20 Sistemas Electrónicos Digitales

entity DECOD2a4 is port( X: in std_logic_vector (1 downto 0); ENABLE : in std_logic; Y : out std_logic_vector (3 downto 0)); end DECOD2a4;

Decodificador 2 a 4

architecture comportamental1 of DECOD2a4 is signal DataEnable: std_logic_vector (2 downto 0); begin DataEnable <= ENABLE & DATAIN; with dataEnable SELECT Y<= “1000” when “111”; “0100” when “110”; “0010” when “101”; “0001” when “100”; “0000” when others; end comportamental1;

DECOD 2a4

ENABLE

X (1:0) Y (3:0)

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 21: Bloques combinacionales estándar

Circuitos combinacionales 21 Sistemas Electrónicos Digitales

Ejemplo: diseño de un decodificador de 2 a 4:

Decodificadores

Funciones lógicas

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 22: Bloques combinacionales estándar

Circuitos combinacionales 22 Sistemas Electrónicos Digitales

Ejemplo: diseño jerárquico de un decodificador de 4 a 16 mediante decodificadores de 3 a 8. Decodificador de 3 a 8

Decodificadores: diseño jerárquico Comercial: 74238

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 23: Bloques combinacionales estándar

Circuitos combinacionales 23 Sistemas Electrónicos Digitales

Ejemplo: diseño jerárquico de un decodificador de 4 a 16 mediante decodificadores de 3 a 8.

Decodificadores: diseño jerárquico

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 24: Bloques combinacionales estándar

Circuitos combinacionales 24 Sistemas Electrónicos Digitales

Ejemplo: diseño jerárquico de un decodificador de 4 a 16 mediante decodificadores de 3 a 8. Resultados de simulación.

Decodificadores: diseño jerárquico

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 25: Bloques combinacionales estándar

Circuitos combinacionales 25 Sistemas Electrónicos Digitales

Un decodificador (o decodificador de n a 2n) es un módulo que materializa todos los minterms de una función de n variables.

Se puede materializar cualquier FC de n variables expresada como suma de minterms sin más que usar un decodificador de n a 2n y una puerta OR con tantas entradas como sumandos tenga la expresión de la FC.

Síntesis de FC con Decodificadores

Expresión de conmutación:

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 26: Bloques combinacionales estándar

Circuitos combinacionales 26 Sistemas Electrónicos Digitales

Ejemplo: diseño de las funciones f1,f2 y f3 mediante decodificadores.

Síntesis de FC con Decodificadores

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 27: Bloques combinacionales estándar

Circuitos combinacionales 27 Sistemas Electrónicos Digitales

Síntesis de FC con Decodificadores

a g

Materializar un codificador BCD a 7 segmentos mediante decodificadores

∑= )9,8,7,6,5,3,2,0(m)x,x,x,x(a 0123

… ∑= )9,8,6,5,4,3,2(m)x,x,x,x(g 0123

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 28: Bloques combinacionales estándar

Circuitos combinacionales 28 Sistemas Electrónicos Digitales

Aplicaciones de los Decodificadores

! Chips de memoria : Convierte el nº binario que designa la dirección de una celda de memoria en la fila o columna correspondiente.

!  Sistemas de memoria de microprocesadores: Seleccionando diferentes bancos de memoria.

!  Sistemas de entrada/salida de microprocesadores: Seleccionando distintos dispositivos.

! Descodificando instrucciones de microprocesadores: Habilitando diferentes unidades funcionales

! Displays (descodificador BCD-7 segmentos, etc) !  Teclados (asignando valores binarios a códigos ASCII, etc)

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 29: Bloques combinacionales estándar

Circuitos combinacionales 29 Sistemas Electrónicos Digitales

Un multiplexor (o multiplexor de 2n a 1) es un módulo combinacional con 2n entradas y 1 salida, además de una señal de activación y n señales de control.

El multiplexor conecta una de las 2n

entradas a la salida salidas. Esta entrada se selecciona con la palabra de control S.

entonces:

4. Multiplexores

El comportamiento del multiplexor:

Expresión de conmutación: CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 30: Bloques combinacionales estándar

Circuitos combinacionales 30 Sistemas Electrónicos Digitales

MUX 2 a 1

entity MUX is port( E0,E1 : in bit; SEL: in bit; Z : out bit); end MUX;

E0

E1

SEL

Z 0

1

architecture comportamental1 of MUX is begin Z <= E0 when SEL = ‘0’ else E1; end comportamental1;

architecture comportamental2 of MUX is begin P1: process(E0, E1, SEL) begin if SEL = ‘0’ then Z <= E0; else Z <= E1; end if; end process P1; end comportamental2;

Comercial: 74157

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 31: Bloques combinacionales estándar

Circuitos combinacionales 31 Sistemas Electrónicos Digitales

Ejemplo: diseño de un multiplexor de 4 entradas (4 a 1).

Multiplexores

Comercial: 74293

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 32: Bloques combinacionales estándar

Circuitos combinacionales 32 Sistemas Electrónicos Digitales

Un único multiplexor de 2n a 1 permite materializar cualquier función de conmutación de n variables.

La expresión de conmutación de una FC como suma de productos consiste en la suma de los minterms mi para los que la FC, f(i), toma valor cierto, es decir:

Obviando E, esta expresión coincide con la expresión del multiplexor si se identifican: xi = f(i) ∀i=0,...,2n-1 y (sn-1,...,s0) =(an-1,...,a0).

Esto significa que para materializar f basta con conectar las entradas binarias de la función a las entradas de control del multiplexor y conectar el valor f(i) que toma la función (fila i de la tabla de verdad) con la entrada de datos xi del multiplexor.

Síntesis de FC con multiplexores

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 33: Bloques combinacionales estándar

Circuitos combinacionales 33 Sistemas Electrónicos Digitales

Síntesis de FC con multiplexores Ejemplo: diseño mediante un único multiplexor de la función f siguiente.

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 34: Bloques combinacionales estándar

Circuitos combinacionales 34 Sistemas Electrónicos Digitales

Ejemplo: diseño mediante multiplexores de un sumador binario completo de 1 bit.

Síntesis de FC con multiplexores

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 35: Bloques combinacionales estándar

Circuitos combinacionales 35 Sistemas Electrónicos Digitales

Ejemplo: diseño mediante multiplexores de un sumador binario completo de 1 bit.

Síntesis de FC con multiplexores

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 36: Bloques combinacionales estándar

Circuitos combinacionales 36 Sistemas Electrónicos Digitales

•  Seleccionar n de las variables de entrada para usarlas como control y utilizar las restantes para generar los valores de las 2n entradas del multiplexor •  Cada una de las funciones de k entradas puede realizarse con multiplexores de k entradas de control o con otros módulos.

Síntesis de FC con multiplexores ¿Qué pasa si la materialización se hace con un multiplexor con menor nº de entradas (n) de control que variables de la función?

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 37: Bloques combinacionales estándar

Circuitos combinacionales 37 Sistemas Electrónicos Digitales

Ejemplo: diseño de la función f siguiente mediante un multiplexor de 4 a 1 y puertas lógicas.

Síntesis de FC con multiplexores

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 38: Bloques combinacionales estándar

Circuitos combinacionales 38 Sistemas Electrónicos Digitales

Síntesis de FC con multiplexores

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 39: Bloques combinacionales estándar

Circuitos combinacionales 39 Sistemas Electrónicos Digitales

Síntesis de FC con multiplexores

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 40: Bloques combinacionales estándar

Circuitos combinacionales 40 Sistemas Electrónicos Digitales

La selección de otras señales de control puede variar la materialización. Por ejemplo, si se escogen a y d.

Síntesis de FC con multiplexores

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 41: Bloques combinacionales estándar

Circuitos combinacionales 41 Sistemas Electrónicos Digitales

Aplicaciones de los Multiplexores

! Un MUX puede implementar cualquier función lógica con un nº de variables igual a los selectores.

! Un MUX se implementa con un nº razonable de puertas NAND.

! MUX de pocas entradas se utilizan como elemento básico de los bloques lógicos programables de FPGAs.

!  Conversión paralelo-serie.

!  Multiplexación de bits de dirección en memorias grandes

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 42: Bloques combinacionales estándar

Circuitos combinacionales 42 Sistemas Electrónicos Digitales

Un demultiplexor (o demultiplexor de 2n a 1) es un módulo con 1 entrada y 2n

salidas, además de una señal de activación y n señales de control.

El demultiplexor conecta la entrada con una de las 2n salidas que se selecciona con la palabra de control S.

Su funcionamiento es inverso al realizado por el multiplexor.

5. Demultiplexores

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 43: Bloques combinacionales estándar

Circuitos combinacionales 43 Sistemas Electrónicos Digitales

DEMUX 3 a 8 entity DEMUX3a8 is port( X,ENABLE : in std_logic; CONTROL : in std_logic_vector (2 downto 0); Y : out std_logic_vector (7 downto 0)); end DEMUX3a8;

architecture comportamental of DEMUX3a8 is signal DataControl: std_logic_vector (3 downto 0); signal Entrada: std_logic; begin DataEnable <= ENABLE & CONTROL; Entrada <= X; with dataEnable SELECT Y(7)<= Entrada when “1111”; Y(6)<= Entrada when “1110”; Y(5)<= Entrada when “1101”; Y(4)<= Entrada when “1100”; Y(3)<= Entrada when “1011”; Y(2)<= Entrada when “1010”; Y(1)<= Entrada when “1001”; Y(0)<= Entrada when “1000”; Y <= (others =>’0’)when others; end comportamental;

DEMUX3a8

X

CONTROL (2:0)

Y (7:0)

ENABLE

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 44: Bloques combinacionales estándar

Circuitos combinacionales 44 Sistemas Electrónicos Digitales

Un desplazador (shifter) es un módulo combinacional con n+2 entradas de datos y n salidas, además de una señal de activación y señales de control. El desplazador puede mover o no bits a derecha e izquierda en desplazamientos abiertos o cerrados (rotaciones) bajo las órdenes de las señales control.

6. Desplazadores

La construcción habitual suele consistir en un conjunto de multiplexores.

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 45: Bloques combinacionales estándar

Circuitos combinacionales 45 Sistemas Electrónicos Digitales

Desplazadores

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 46: Bloques combinacionales estándar

Circuitos combinacionales 46 Sistemas Electrónicos Digitales

7. Dispositivos lógicos programables Dispositivos lógicos programables: conjunto de circuitos integrados formados por cierto número de puertas lógicas y/o módulos básicos y/o biestables cuyas conexiones pueden ser personalizadas o programadas, bien sea por el fabricante o por el usuario.

La gran ventaja de estos dispositivos reside en que los fabricantes pueden realizar grandes tiradas de estos CI lo que abarata sus costes de producción y los usuarios posteriormente pueden personalizar sus diseños en sus propios laboratorios sin grandes inversiones: ! Consumos medios, aunque hay familias especializadas en bajo consumo !  Velocidad intermedia !  Fiabilidad alta !  Tiempo de desarrollo muy bajo, sin dependencia de terceros !  Metodología sencilla !  Equipamiento sencillo !  Aumentan la confidencialidad de las placas

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 47: Bloques combinacionales estándar

Circuitos combinacionales 47 Sistemas Electrónicos Digitales

Dispositivos lógicos programables Evolución temporal y escala de integración:

PAL,PLDs Suma de productos de entradas y salidas realimentadas 200-1000

CPLDs Varias PALs interconexionadas entre sí 1k-10k

FPGAs Bloques lógicos configurables con rutas de interconexión no prefijadas

10k-10M

PLDs

SPLDs CPLDs

PROMs PLAs PALs GALs

PLD: Programmable Logic Device SPLD: Simple PLD CPLD: Complex PLD PROM: Programmable ROM PAL: Programmable Array Logic PLA: Programmable Logic Array GAL: Generic Array Logic

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 48: Bloques combinacionales estándar

Circuitos combinacionales 48 Sistemas Electrónicos Digitales

FPGAs

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 49: Bloques combinacionales estándar

Circuitos combinacionales 49 Sistemas Electrónicos Digitales

FPGAs

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 50: Bloques combinacionales estándar

Circuitos combinacionales 50 Sistemas Electrónicos Digitales

FPGAs. Arquitectura

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 51: Bloques combinacionales estándar

Circuitos combinacionales 51 Sistemas Electrónicos Digitales

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 52: Bloques combinacionales estándar

Circuitos combinacionales 52 Sistemas Electrónicos Digitales

Una memoria es un dispositivo de almacenamiento de información Ü  RAM Random Acess Memory Ü  ROM Read-Only Memory Ü  PROM Programmable ROM Ü  EPROM Erasable and Programmable ROM Ü  EEPROM Electrically erasable PROM

Estructura interna: Ü Los datos se almacenan en grupos llamados posiciones de memoria. Cada posición de memoria tiene una dirección. Sólo se puede acceder a una dirección a la vez Ü Las direcciones están codificadas y se indican a través el bus de direcciones Ü Los datos son leídos o escritos por el bus de datos Ü Capacidad de una memoria: M x N bits (M direcciones de N bits cada una)

Memoria 2m x N

m N

Bus de direcciones

Bus de datos

Señales de control

7.1. Memorias ROM

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 53: Bloques combinacionales estándar

Circuitos combinacionales 53 Sistemas Electrónicos Digitales

Una memoria ROM (Read Only Memory - memoria de solo lectura) es un módulo combinacional con n entradas de direcciones y k salidas de datos, además de una o varias señales de activación o selección.

Una memoria ROM es un CI programable (por el fabricante o los usuarios) en el que se pueden personalizar ciertas conexiones.

Existen distintos tipos según los datos sean o no permanentes (ROM, PROM o EPROM, EEPROM), sean no programables o programables (ROM o PROM, EPROM, EEPROM) , y cuantas veces, y como se realice físicamente el borrado y la programación (EPROM - UV, EEPROM - eléctrica).

Memorias ROM

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 54: Bloques combinacionales estándar

Circuitos combinacionales 54 Sistemas Electrónicos Digitales

Una ROM se compone internamente de dos grupos de puertas: un grupo de puertas AND (en realidad incluye también un conjunto de inversores) y un grupo de puertas OR.

El grupo de puertas AND están programadas de antemano y conectadas de forma inalterable, mientras que el grupo de puertas OR son programables por el usuario.

Memorias ROM

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 55: Bloques combinacionales estándar

Circuitos combinacionales 55 Sistemas Electrónicos Digitales

El grupo de puertas AND se puede ya entender como un decodificador de n a 2n con el que se generan todos los minterms para cualquier función de n variables (direcciones).

Ese decodificador (prefijado) junto a un grupo de puertas OR programables permite materializar cualquier FC de n variables (ver Síntesis de FC con decodificadores).

Memorias ROM

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 56: Bloques combinacionales estándar

Circuitos combinacionales 56 Sistemas Electrónicos Digitales

Ejemplo: Materializar el comparador de magnitud de dos palabras binarias de dos bits que cumple lo siguiente:

La solución consiste en seleccionar las salidas que generan los minterms de las funciones y programar las conexiones en el grupo OR para cada una de las salidas. Se almacena directamente la tabla de verdad.

Memorias ROM

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 57: Bloques combinacionales estándar

Circuitos combinacionales 57 Sistemas Electrónicos Digitales

Una ROM se puede entender como una tabla que almacena datos con la siguiente estructura interna abstracta, donde cada dato ocupa una posición de la tabla denominada dirección.

Como la única parte programable es la OR se suele representar mediante la matriz de conexiones OR con 1s y 0s indicando conexión o no conexión respectivamente, de nuevo materializando directamente la tabla de verdad.

Memorias ROM

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 58: Bloques combinacionales estándar

Circuitos combinacionales 58 Sistemas Electrónicos Digitales

Ejemplo: Diseñar en ROM un conversor de código BCD a XS-3

Memorias ROM

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 59: Bloques combinacionales estándar

Circuitos combinacionales 59 Sistemas Electrónicos Digitales

Una memoria ROM materializa FCs directamente como suma de minterms ya que el grupo de puertas AND está prefijado. Cuando una FC sólo utiliza unos pocos minterms o admite una fuerte simplificación utilizar una ROM puede ser un despilfarro.

Para este tipo de situaciones se utilizan dispositivos PLA con conexiones programables tanto en el grupo de puertas AND como en el grupo de puertas OR.

7.2. Dispositivos programables PLA,PAL

Las PALs son un caso particular de las PLA con conexiones OR preprogramadas.

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 60: Bloques combinacionales estándar

Circuitos combinacionales 60 Sistemas Electrónicos Digitales

Son sistemas combinacionales que reciben dos datos de entrada A y B y los comparan en binario puro, devolviendo 3 salidas que indican si A>B, A=B ó A<B

Cronograma

Tabla de verdad Circuito lógico Comparador de 1 bit

Símbolo

8. Comparadores

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 61: Bloques combinacionales estándar

Circuitos combinacionales 61 Sistemas Electrónicos Digitales

0110

01110

01110

IIImImmMIMM

⋅=

⋅+=

⋅+=

Comparadores Comparador de 2 bits Circuito lógico

Ecuaciones

Cronograma

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 62: Bloques combinacionales estándar

Circuitos combinacionales 62 Sistemas Electrónicos Digitales

Estos comparadores disponen de tres entradas que permiten introducir en un comparador las salidas de otro que compara bits de menor peso. El resultado final de la comparación lo dan las salidas del comparador de los bits de mayor peso.

Tabla de verdad de un comparador conectable en cascada para números de 4 bits

Comparadores conectables en cascada

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 63: Bloques combinacionales estándar

Circuitos combinacionales 63 Sistemas Electrónicos Digitales

Disponen de tres entradas que permiten introducir en un comparador las salidas de otro que compara bits de menor peso. El resultado final de la comparación lo dan las salidas del comparador de los bits de mayor peso. Símbolo Comparador de 4 bits

Conexión de dos comparadores en serie

Comparadores conectables en cascada Comercial: 74HC85

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 64: Bloques combinacionales estándar

Circuitos combinacionales 64 Sistemas Electrónicos Digitales

Comparadores conectables en cascada

SERIE

PARALELO

LSB

MSB

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 65: Bloques combinacionales estándar

Circuitos combinacionales 65 Sistemas Electrónicos Digitales

El semisumador (half adder) es un circuito que suma dos bits de entrada ai y bi y devuelve un bit de resultado zi y un bit de acarreo ci.

Cronograma

Circuito con puertas lógicas

Sum+

CarryOut

a

b

cout = a·b

Sum = a ⊕ b

Tabla de verdad

9. Sumadores: semisumador elemental

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 66: Bloques combinacionales estándar

Circuitos combinacionales 66 Sistemas Electrónicos Digitales

El sumador completo (full adder) es un circuito que suma dos bits de entrada ai y bi más un acarreo de entrada ci-1 y devuelve un bit de resultado zi y un bit de acarreo ci. Tabla de verdad

Cronograma

cOUT = a·b + a·cIN+b·cIN

s = a ⊕ b ⊕ cIN

+ cecss

ba

cIN cOUT

+

ce

cs

s

b

a

cIN

cOUT

Sumadores: sumador elemental completo

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 67: Bloques combinacionales estándar

Circuitos combinacionales 67 Sistemas Electrónicos Digitales

Sumador paralelo con acarreo en serie

En los ejemplos anteriores el acarreo se va propagando consecutivamente de un sumador a otro.

Sumador paralelo con acarreo anticipado (“carry look ahead”)

Se usa una estrategia combinacional (“generate”, ”propagate”) para adelantar la salida del acarreo en una etapa y reducir su tiempo de propagación, a partir del conocimiento de todas las entradas. Requiere varios niveles de lógica, compensa el retardo en sumas de muchos bits.

Los integrados 74HC283 y 74LS283 son sumadores de dos nºs de 4 bits, e incorporan un diseño de acarreo anticipado.

Extensión de sumadores a nºs de más bits.

Un sumador de números de 8 bits se obtiene fácilmente conectando el acarreo de salida (C4) del sumador de 4 bits al de entrada (C0) del otro.

Sumadores: Comercial: 74283 (4 bits, acarreo anticipado)

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 68: Bloques combinacionales estándar

Circuitos combinacionales 68 Sistemas Electrónicos Digitales

Se construye asociando n sumadores elementales completos (full adder) que reciben y procesan todos ellos los datos en paralelo, si bien el acarreo se propaga en serie de un sumador a otro (Circuito lento)

Circuito con sumadores elementales

Sumador con propagación de acarreo en serie

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 69: Bloques combinacionales estándar

Circuitos combinacionales 69 Sistemas Electrónicos Digitales

El retardo del sumador elemental es: Ü Z: 1 puerta. Ü COUT: 2 puertas.

El retardo del sumador serie con acarreo propagado es muy significativo.

Ejemplo: sumar A=1011 y B=0101.

El resultado es Z=0000 con COUT=1, y se produce un acarreo en el primer sumador que se va propagando hasta el último. Los retardos son:

Ü retardo(Z0) = 1 Ü retardo(C0) = 2 Ü retardo(Z1) = 1 + retardo(C0) = 3 Ü retardo(C1) = 2 + retardo(C0) = 4 Ü retardo(Z2) = 1 + retardo(C1) = 1+4 = 5 Ü retardo(C2) = 2 + retardo(C1) = 2+4 = 6 Ü retardo(Z3) = 1 + retardo(C2) = 1+6 = 7 Ü retardo(C3) = 2 + retardo(C2) = 2+6 = 8

Sumador con propagación de acarreo en serie

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 70: Bloques combinacionales estándar

Circuitos combinacionales 70 Sistemas Electrónicos Digitales

Circuito con sumadores elementales

+

n n

A B

S

cs ce

n

+ cecss

ba

+ cecss

ba

+ cecss

ba

a0 b0a1 b1bn-1an-1

s0s1sn-1

...cn-1 c-1

Sumador de n bits con propagación de acarreo en serie

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 71: Bloques combinacionales estándar

Circuitos combinacionales 71 Sistemas Electrónicos Digitales

Para restar dos números binarios de n bits podemos hacer una suma del minuendo con el complemento a 2 del sustraendo:

Ü Para complementar el sustraendo, invertimos todos sus bits e introducimos un 1 en el acarreo de entrada del sumador menos significativo. Ü Por este procedimiento también había que invertir el acarreo de salida. Ü Esto funciona tanto para binario puro como para complemento a 2 (en complemento a 2 el acarreo se desprecia, y habría que detectar el posible desbordamiento de otro modo).

+ cecss

ba

+ cecss

ba

+ cecss

ba

a0 b0a1 b1bn-1an-1

s0s1sn-1

...cn-1

'1'

10. Restadores binarios

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 72: Bloques combinacionales estándar

Circuitos combinacionales 72 Sistemas Electrónicos Digitales

Podemos unir los circuitos anteriores y construir uno que haga sumas y restas en función de una señal de control ⇒ SUMADOR / RESTADOR DE N BITS.

Op = 0 ⇒ OPERACIÓN DE SUMA Op = 1 ⇒ OPERACIÓN DE RESTA

+ cecss

ba

+ cecss

ba

+ cecss

ba

a0 b0a1b1bn-1an-1

s0s1sn-1

...

cn-1

+ cecss

ba

sn-1

bn-2an-2Op

Sumar y restar números binarios

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 73: Bloques combinacionales estándar

Circuitos combinacionales 73 Sistemas Electrónicos Digitales

Una unidad aritmética y lógica (UAL, ALU) es un circuito combinacional que realiza las operaciones aritméticas y lógicas básicas en el computador.

Ü Operaciones aritméticas básicas: suma y resta de enteros y desplazamientos unitarios. Ü Operaciones lógicas básicas: NOT, AND, OR, EXOR, NAND, NOR.

Las implementación de circuitos para operaciones lógicas es muy sencilla: basta simplemente con una batería de puertas lógicas y un multiplexor accionado por las correspondientes señales de selección. Ejemplo: circuito para realizar AND y OR, AND y XOR para dos datos de un bit.

Operation

a

bResult

0

3

2

1Resultado

0 1 2

3 Operación

11. Unidad Aritmético Lógica Combinacional

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.

Page 74: Bloques combinacionales estándar

Circuitos combinacionales 74 Sistemas Electrónicos Digitales

Otro ejemplo: IDT7381

Unidad Aritmético Lógica Combinacional

CLASES PARTICULARES, TUTORÍAS TÉCNICAS ONLINELLAMA O ENVÍA WHATSAPP: 689 45 44 70

- - -ONLINE PRIVATE LESSONS FOR SCIENCE STUDENTSCALL OR WHATSAPP:689 45 44 70

www.cartagena99.com no se hace responsable de la información contenida en el presente documento en virtud alArtículo 17.1 de la Ley de Servicios de la Sociedad de la Información y de Comercio Electrónico, de 11 de julio de 2002.Si la información contenida en el documento es ilícita o lesiona bienes o derechos de un tercero háganoslo saber y será retirada.