b to g

Embed Size (px)

Citation preview

  • 8/13/2019 b to g

    1/5

    RSS

    Email

    Follow us

    Become a fan Home About Us On Demand Projects Project Request Contact Us Privacy Policy Site-Map

    Home Quiz Programming Data Structure Projects

    Mini Project

    Education Notes IGNOU

    Search this site...

    You are here:HomeElectronicsVHDL Binary to Gray Code Converter

    January 10, 2014 11:00 am

    3

    VHDL Binary to Gray Code ConverterPosted byCoding Talkson July 27, 2012

    VHDL Binary to Gray CodeConverteris very easy to design we can use following code which esay to understnd.

    VHDL Binary to Gray CodeConverterCode :

    1

    2

    3

    4

    5

    6

    78

    910

    11

    12

    13

    1415

    16

    17

    library ieee;

    use ieee.std_logic_1164.all;

    entity bejoy_b2g is

    port(b:in std_logic_vector(3 downto 0);

    g:out std_logic_vector(3 downto 0));end bejoy_b2g;

    architecture a of bejoy_b2g is

    begin

    g(3)