36
2016 October MONTHLY MEETING Mike Walden, CMC Business Development Director Jonas Sundqvist, Ph.D., Sr. Technology Analyst Lita Shon-Roy, President / CEO Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 1 October 2016 www.cmcfabs.org [email protected]

2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

2016 October MONTHLY

MEETING Mike Walden, CMC Business Development Director

Jonas Sundqvist, Ph.D., Sr. Technology Analyst Lita Shon-Roy, President / CEO

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 1 October 2016

www.cmcfabs.org [email protected]

Page 2: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

CMC Anti-Trust Reminder • CMC Fabs activities are a coordinated effort among competitors in the semiconductor

device fabrication industry and are, therefore, subject to antitrust laws.

• Although this coordination is perfectly legal under U.S. antitrust laws, we want to make sure

that no antitrust risks are raised by the manner in which the CMC Fabs program is carried

out. Accordingly, these guidelines may go somewhat beyond the prohibitions of the law, but

that is done in the interest of safety.

• The penalties for violating antitrust laws are quite severe, including large fines and even

imprisonment of individuals found guilty of illegal conduct.

• Contrary to the popular belief that the government has relaxed antitrust enforcement, in

recent years the Justice Department has recommended jail sentences for the majority of

persons convicted of violating antitrust laws. Moreover, the U.S. Supreme Court has ruled

that a trade association may be held legally responsible for the unauthorized, as well as

authorized, acts of its members. Accordingly, every effort must be made to avoid even the

appearance of impropriety.

2 Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL October 2016

Page 3: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Outline ■ Welcome

■ Hot Topics Reality Check – Allot Sufficient Time to Discuss at Close of Meeting

■ CMC Associate Membership Update

■ ALD / CVD Precursors Update – 15 min

■ CMC Fabs Update – 15min

– Survey on Wet Chemicals

– Fall F2F Meeting Survey and Meeting Agendas / Seminar

– Templates for Hot Topic and Case Studies

– Travel Arrangements & Visas

■ Hot Topics, Q&A -15~20 min

3 Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL October 2016

Page 4: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Hot Topics to Discuss -

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 4

BASF Plant Explosion - Ludwigshafen, Germany

___________________(your hot topics here)

___________________(your hot topics here)

__________________ (your hot topics here)

October 2016

Page 5: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Time to Budget for 2017 CMC Membership

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 5

CMC Membership renewal meetings are ongoing

For questions, contact Lita

October 2016

Page 6: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

■ What Can You Do to Help Grow

Our Membership?

– Talk about the CMC and ask

your suppliers and

colleagues to contact us at

[email protected]

– Talk with prospective

members about the benefits

at the F2F meeting in

Shanghai

6 Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL

CMC Associate Membership Update

■ CMC Associate Signees

– Heraeus

– Cryoin

■ CMC Associates Hit List

– Matheson Gas*

– Air Liquide*

– Praxair*

– Linde

– Versum++

– Dow Corning

– BASF

– Merck / SAFC / AZ ++

– Wonik / Nova-Kem

– Umicore*

October 2016

We will be discussing membership opportunities in Shanghai with appropriate China suppliers

Page 7: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Spread the CMC Associate Membership Message to your Suppliers

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 7

We provided each of you a two page document (repeated in the

following slides) to share with your suppliers.

We will resend this e-mail for your convenience.

Please continue to promote this – Thank you!

October 2016

Page 8: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Expansion of Our Ecosystem

September 2016

Copyright 2016 TECHCET CA LLC All Rights Reserved 8

CMC Membership Collaborative

Advantages

1. Access to the most current and accurate Critical Materials information.

2. Customized IT solutions for timely news updates on the WW Critical Materials supply chain.

3. Partnership with other organizations on best practices and remedy of material supply issues, in a non-competitive venue.

Please distribute to your suppliers

Trends

Markets

Supply

CMC Associate Members

Work to identify material-related issues of the S/C supply chain

Imp

rove

me

ntC

on

tin

uo

us

Actively address CMC common concerns and hot topics

Council

Working Groups

Page 9: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

For more information or to arrange a brief telephone conference, please contact us!

Mike Walden – CMC Business Development Director [email protected]

+1-859-274-8240

Lita Shon-Roy – President / CEO [email protected]

+1-925-413-9373

9 Copyright 2016 TECHCET CA LLC All Rights Reserved September 2016

Page 10: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Critical Materials Advisory Reports (Current)

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 10

TECHCET Critical Materials Report Advisories Issue Date

1 2016 CVD / ALD Metal Precursors Apr 10

2 2016 Electronic Gases May 30

3 2016 Wet Process Chemicals June 30

4 2016 Silicon Wafers June 30

5 2016 Photoresists and Ancillaries June 30

6 2016 CVD / ALD Dielectric Precursors Aug 10

7 2016 CMP Slurries and Pads Consumables Aug 31

8 2016 Sputtering Targets Sept 10

9* 2015 -16 Quartz -Equipt Components Updated April’16

10* 2015-16 Ceramics & SiC - Equipt Components Updated July’16

11 2016-17 Semiconductor Device Technology Trends and

Materials Requirements

4Q2016

12. 2016 Neon Supply & Demand Updated July’16

Advisory Reports include Quarterly Updates on Forecast and Supply Chain activities, and ALERTS - urgent news that may have an immediate impact on a materials’ supply and availability.

* These reports do not come with Quarterly Updates or Alerts at this time.

October 2016

Page 11: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Techcet Critical Materials: ALD/CVD High K and Metal Precursors

Jonas Sundqvist, Ph.D.

October 2016 www.Techcet.com [email protected]

October 2016 Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL

11

Page 12: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Outline CVD v. ALD

Dielectrics Status

Applications for Memory & Logic

Industry Outlook

ALD Migration

Forecast

Upcoming Reports

12 October 2016 Copyright 2016 TECHCET CA LLC All Rights Reserved

CMCFabs CONFIDENTIAL

Page 13: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

CVD vs. ALD Both used for BEOL / Interconnect

Both used for Multi-patterning

Both used for Hi K in memory; trending toward ALD

ALD more frequently used in leading edge devices

CVD more frequently used for depositing dielectrics

Market Share leaders of CVD / ALD material suppliers are from the US, Japan and Europe

Minor players in Korea (UpChem, DNF) and Taiwan (Nanmat)

13 October 2016 Copyright 2016 TECHCET CA LLC All Rights Reserved

CMCFabs CONFIDENTIAL

Page 14: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Dielectrics Precursor Status Low K ILD driven by need for gap fill processes and sacrificial layers to support FinFET’s and NAND and advanced DRAM capacitor stacks

Applied Materials dominates the OEM CVD low κ market (carbon doped oxides) and has established a leadership position with porous CVD based organ silicate glass

The low κ choice will remain predominantly dense SiOC:H through the 14 nm node due to nanoporosity benefiting yield and integration

WF6 Alternatives

Development ongoing to minimize F intrusion from WF6

Fluorine is diffusing into the device causing derterminal reliability issues. Alternatives are:

• WF6 process w/ H2 treatment (see Lam Research)

• MO-precursor High resistivity

• Entegris 6 kg WCl6 ProEvap™ Vessel launched

A WF6 replacement for leading edge devices, may help ease supply tightness

14 October 2016

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL

Page 15: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

ALD Applications for Memory & Logic

Application Logic Memory / Capacitor R&D

High-k HfO2 Al2O3, ZrO2, HfO2, Nb2O5, Ta2O5 ATO, SrOx, SrTiO3, BST

Metal Gates/Electrodes TiN, TaN, TiAl, TiAln TiC, TaC TiN Ru

Contacts TiSi, NiSi, CoSi, W Pt

Spacer/Liners/Multi patterning SiO2, SiNx SiO2, SiNx, Al2O3 Inorganic/organic hybride

BEOL TiN, TaN, Co Mn, MnSi2, Ru, CNT, Graphene, SAMs

SADP – SAQP Lam Research

15 October 2016 Copyright 2016 TECHCET CA LLC All Rights Reserved

CMCFabs CONFIDENTIAL

Page 16: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

ALD/CVD Industry Outlook

Assembly, 3.30

Lithography, 8.10

Etch & Clean, 8.10

Deposition, 8.00

Process Diagnostics, 3.90

Other Wafer Processes, 2.20

Test, 3.60

Wafer Equipment Market (Billion US$) VLSI Research April 2016

CVD

ALD

Risto Puhakka VLSI Research May 2016, CMC Conference Hillsboro May 5th, 2016

CVD & ALD Equipment market show continued high growth Potential

Growth driven by scaled 3D devices (FinFET & 3DNAND) and Wafer starts

ALD passed PVD in 2015(!)

Adopted from ASMI INVESTOR PRESENTATION July 28, 2016, Q2 2016 RESULTS

16 October 2016 Copyright 2016 TECHCET CA LLC All Rights Reserved

CMCFabs CONFIDENTIAL

Page 17: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

ALD/CVD Industry Outlook

All sub 90 nm DRAM and 45 nm Logic and all sub 45 nm nodes except for 32/28 Low power use ALD

Growth in Wafer Starts & Transition to 10 & 7 nm will drive growth in ALD & CVD Metal precursors further

especially Hf High-k & Cobalt

17 October 2016 Copyright 2016 TECHCET CA LLC All Rights Reserved

CMCFabs CONFIDENTIAL

Page 18: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

ALD Migration

I - Migration to ALD due to shrink LPCVD SiN and SiO2 PECVD SiN and SiO2 CVD Metals CVD Metal nitrides PVD Metals

II - New Materials & Unit Processes: MIM Caps & High-k / Metal Gate Cu barrier/seed and caps Multipatterning

III - 3D Devices: DRAM, FinFET, 3DNAND More ALD

ALD

45nm

32nm

22nm

14nm

10nm

7nm

ALD of dielectric materials growth due to ALD enabled patterning (SADP, SAQP)

The number of ALD passes will double from 14nm to 7 nm according to estimations

x2

18 October 2016 Copyright 2016 TECHCET CA LLC All Rights Reserved

CMCFabs CONFIDENTIAL

Page 19: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

OEM Mergers Applied Materials & TEL and The Lam Research & KLA Merger would have increased concentration

However, ALD Innovation drive by smaller Companies (ASM, Jusung, Ultratech, Veeco, Picosun, Beneq)

VLSI Research CMC Conf. May 2016

19 October 2016 Copyright 2016 TECHCET CA LLC All Rights Reserved

CMCFabs CONFIDENTIAL

Page 20: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

3Q/2016 ALD / CVD Precursor Forecast

Forecasts Adjustment made with respect to:

High-k consumption rate for DRAM 1.5X

Wafer starts

20 October 2016 Copyright 2016 TECHCET CA LLC All Rights Reserved

CMCFabs CONFIDENTIAL

Page 21: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

ALD / CVD Precursor Forecast

21 October 2016 Copyright 2016 TECHCET CA LLC All Rights Reserved

CMCFabs CONFIDENTIAL

Page 22: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Dielectric Precursor Revenues

22 October 2016 Copyright 2016 TECHCET CA LLC All Rights Reserved

CMCFabs CONFIDENTIAL

Page 23: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Upcoming Reports

1Q/2017 ALD & CVD Metal Precursors

2Q/2017 Dielectric Precursors

23 October 2016 Copyright 2016 TECHCET CA LLC All Rights Reserved

CMCFabs CONFIDENTIAL

Page 25: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Upcoming Pricing Survey (Wet Chemicals) (5 responses required)

■ Reality Check

– There are 11 chemicals covered in this survey

– In order to possibly increase the number of respondents, should we consider

reducing the number of chemicals surveyed? Would this be beneficial or

unfavorable?

■ Survey approach – double blind, description of approach verbalized now and then

followed up by e-mail

■ Do you have questions and / or feedback on the survey?

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 25 October 2016

Page 26: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Future Meetings / Surveys

CMC F2F – November 7-9

Holiday Inn, 899 Dong-fang Road, Pudong, Shanghai, special TI Rate (Thanks

TI!)

Food expense allowance for 2 per company – but so far only have 1 name from

each CMC Member company.

Please RSVP to [email protected] as we need to get an accurate

headcount

November F2F – Wafers

December – Targets / 2017 CMC Plan

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 26 October 2016

Page 27: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

2017 Proposed Meeting Topics

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 27

Month Date Topic

2017 - JAN 19 CMP Consumables

FEB 16 Photoresist/Ancillaries

+ other analyst*

MAR 16 Gases

APR 20 Wet Chemicals

MAY 2-4 or 9-11 ALD / CVD Precursors +*

JUN 22 Silicon Wafers

JUL 20 Targets

AUG 17 CMP Consumables

SEP 21 Photoresist/Ancillaries +*

OCT 19 Gases

NOV TBD - F2F Silicon Wafers

DEC 14 Wet Chemicals

+* other analyst organizations will be invited to present, i.e. VLSI Research, SEMICO, Gartner, etc.

October 2016

Page 28: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

F2F Meeting Program / Agendas

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 28 October 2016

Page 29: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

CMC Meeting: CMCFabs Members Day 1 – Monday, November 7, 2016 at TI, Shanghai

September 2016

Time Topic

8am Walk from Hotel to Texas Instruments (TI)

8:30 am Welcome, Introductions, Meeting Objectives, Agenda Review

8:45 am Hot Topic Presentations

15 min Break at 10:30am

Hot Topic Presentations

11:45 Lunch

1:00 Case Studies (Quality Excursions or China logistics issues –

Lessons Learned)

3:00 pm Leave for Tour at Anji

3:30 pm Anji Tour – CEO, Shumin Wang

5:30 pm Adjourn – Return to Hotel / Go to Dinner

6:00 pm CMC Networking Dinner

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 29 October 2016

Page 30: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

CMC Seminar: CMC Fabs’ Templates – Hot Topic & Case Study

September 2016

Addressed on Day 1 of Meeting – Monday, November 7, 2016 at TI, Shanghai

Each Member Company completes:

Hot Topic Template AND

China Logistics Case Study OR Quality Excursion Case Study Template

Everyone received? Any Questions?

OR

One Choose one = Two Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 30 October 2016

Page 31: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

CMC Meeting: CMCFabs + Prospective Fab Members Day 2 – Tuesday, November 8, 2016 at TI, Shanghai

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 31

Time Topic

8:00 AM Walk to TI from Hotel

8:30 AM TECHCET to Hand-out ad hoc Hot Topic Index Cards for Member to Fill out

8:45 AM Morning Supplier Presentations to CMC

Supplier A Grikin (Targets)

Supplier B Anji (CMP Slurry)

Supplier C AUECC (Wet Chem)

Supplier D 718 (Gas Co)

11:00 AM Break

11:15 AM Turn in Hot Topic Cards and Fill out Online Blind Survey on Early Warning

Systems on SurveyMonkey Link

12:00 AM Lunch

1:30 PM Hot Topics Discussion

2:30 PM Break

3:00 PM Survey Results and Assessment by TECHCET + Q & A [Are These Results

Surprising? Why or Why Not? Are These Results Valid? Why or Why Not?]

4:30 PM 2nd Day Take-Away Session, Review CMC Conference Agenda on Day 3

4:50 PM Adjourn, Leave TI and Return to Hotel

5:15-7:00 PM CMCFabs / Prospective CMCFabs Member Dinner – Sponsored by Grikin

October 2016

Page 32: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

CMC Seminar – Shanghai Nov. 9 “Semiconductor Materials Challenges

In and Out of China” Members Only or by Invitation only

■ Focused on the Developing Semiconductor Materials Supply Chain in China and Its impact

on the World

■ This one-day Seminar is a private meeting of Fabs and Suppliers by invitation only. Please

email mailto:[email protected] for an invitation. We look forward to seeing you there!

■ Seminar Location:

■ Holiday Inn Shanghai Pudong

899 Dong Fang Road, Pudong Shanghai 200122 P. R. China

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 32 October 2016

Page 33: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

CMC Seminar: CMCFabs + Prospective Members Day 3 – Wednesday, Nov. 9, 2016

Members or by Invitation Only

September 2016

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 33 October 2016

Page 34: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

IC China Trade Show Nov. 8-10. 2016 at TI, Shanghai

34 Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL

The CMC Seminar schedule

coincides with IC China Trade

Show/ Expo

http://www.ic-china.com.cn/

October 2016

Page 35: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Hot Topics to Discuss -

Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL 35

BASF Plant Explosion - Ludwigshafen, Germany

Normal standard electronic materials not impacted but logistics are impacted. Harbor

closed for repair; impact tot the total supply chain still in question;

Warehoused chemicals are being depended upon at the moment; road transportation;

leakage in delivery piping; new piping needs to be rebuilt; Today the crackers are gradually

being restarted, with safety in mind.

From our analyst Bruce Adams: Here is my high level assessment of the situation. Basically this BASF plant produced mostly industrial products used as intermediates for the chemical industry. Only a small percentage of their products were used in the Electronic industries as sold products. Having said that, some of their industrial products were being used by major specialty gas producers to further purity and sell to the end customer. Three products I can think of are ethylene, propylene, and HCl. Ethylene and propylene should not be a big issue as other sources do exist and can probably take up the slack. Most impact would be for the European customers as these are typically sourced locally and purified. I believe Air Liquide has a site co-located in Ludwigshafen so they may purchase these raw materials from BASF for the Electronic market. HCl may be an issue as Dow dropped out of this market or at least tried to. BASF was one of the options for new HCl sourcing. But overall, I don’t think there will be an immediate impact to the Electronics sector but it will heavily impact the chemical sector and potentially some of the Electronic Chemicals as well. Bruce M. Adams Have a Nice Day

October 2016

Page 36: 2016 October MONTHLY MEETING - CMC Fabs · 2016. 10. 20. · 8 2016 Sputtering Targets Sept 10 9* 2015 -16 Quartz -Equipt Components Updated April’16 10* 2015-16 Ceramics & SiC

Thank You – Let Us Know How We Can Be of

Assistance!

Mike Walden – CMC Business Development Director [email protected]

+1-859-274-8240

Meena Sher – CMCFabs Customer Service Specialist [email protected]

+1-480-382-8336 x 102

Lita Shon-Roy – President / CEO [email protected]

+1-925-413-9373

36 Copyright 2016 TECHCET CA LLC All Rights Reserved CMCFabs CONFIDENTIAL October 2016