21
REACTION MECHANISM AND PROFILE EVOLUTION FOR CLEANING AND SEALING POROUS LOW-k DIELECTRICS USING He/H 2 AND Ar/NH 3 PLASMAS Juline Shoeb a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering Iowa State University, Ames, IA 50011 [email protected] b) Department of Electrical Engineering and Computer Science University of Michigan Ann Arbor, Ann Arbor, MI 48109 [email protected] http://uigelz.eecs.umich.edu October 2010 *Work supported by Semiconductor Research Corporation AVS10_01

* Work supported by Semiconductor Research Corporation

  • Upload
    quang

  • View
    51

  • Download
    2

Embed Size (px)

DESCRIPTION

REACTION MECHANISM AND PROFILE EVOLUTION FOR CLEANING AND SEALING POROUS LOW- k DIELECTRICS USING He/H 2 AND Ar/NH 3 PLASMAS Juline Shoeb a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering Iowa State University, Ames, IA 50011 [email protected] - PowerPoint PPT Presentation

Citation preview

Page 1: * Work supported by Semiconductor Research Corporation

REACTION MECHANISM AND PROFILE EVOLUTION FOR CLEANING AND SEALING

POROUS LOW-k DIELECTRICS USING He/H2 AND Ar/NH3 PLASMAS

Juline Shoeba) and Mark J. Kushnerb)

a) Department of Electrical and Computer EngineeringIowa State University, Ames, IA 50011

[email protected]

b) Department of Electrical Engineering and Computer ScienceUniversity of Michigan Ann Arbor, Ann Arbor, MI 48109

[email protected]

http://uigelz.eecs.umich.edu

October 2010

*Work supported by Semiconductor Research Corporation AVS10_01

Page 2: * Work supported by Semiconductor Research Corporation

Sealing of Low-k Dielectrics Modeling Platforms Generation of Hot H Polymer Removal and PR Stripping In He/H2 Mixtures

Sealing Mechanism Using Ar/NH3 Plasma Treatment Sealing Efficiency

Pore Radius and Aspect Ratio Pulsing Effect On Etch Rate

AGENDA

University of MichiganInstitute for Plasma Science & Engr.AVS10_02

Page 3: * Work supported by Semiconductor Research Corporation

POROUS LOW-k DIELECTRICS The capacitance of the

insulator contributes to RC delays in interconnect wiring.

Low-k porous oxides, such as C doped SiO2 (CHn lining pores) reduce the RC delay.

Porosity 0.5, Interconnectivity 0.5.

Inter-connected pores open to plasma may degrade k-value by reactions with plasma species.

Desire to seal pores to prevent diffusion into porous network.

Ref: http://www.necel.com/process/en/images/porous_low-k_e.gif

University of MichiganInstitute for Plasma Science & Engr.AVS10_03

Page 4: * Work supported by Semiconductor Research Corporation

Typical porous SiO2 has CH3 lineing pores with Si-C bonding – referred to as SiOCH.

Ave pore radius: 0.8-1.1 nm Porosity: up to 50% Etching and sealing SiOCH is an

integrated, multistep process Etch Ar/C4F8/O2 CCP

Clean Ar/O2 or He/H2 ICP Activate He/H2 ICP

Seal Ar/NH3 ICP

LOW-kPROCESS INTEGRATION

University of MichiganInstitute for Plasma Science & Engr.

Mask

Si

Porous Low-kSiCOH

AVS10_04

Page 5: * Work supported by Semiconductor Research Corporation

Step 1: Ar/C4F8/O2 CCPEtch trench leaving PR mask and CFn polymer

Step 2: Ar/O2 ICPRemove PR and CFn polymer with O radicals

O atoms diffuse into pore network to etch CH3 groups.

Degrades low-k material.

University of MichiganInstitute for Plasma Science & Engr.

PORE SEALING PROCESS INTEGRATION

AVS10_05

Page 6: * Work supported by Semiconductor Research Corporation

PORE PLASMASEALING MECHANISM

University of MichiganInstitute for Plasma Science & Engr.

Step 3: He ICPActivate surface by sputtering and photo-detachment to create dangling bonds.

Step 4: Ar/NH3 ICPSeal pores with NHn radicals by forming C-N and Si-N bonds which bridges opening.

AVS10_06

Page 7: * Work supported by Semiconductor Research Corporation

He/H2 CLEAN-ACTIVATE

Highly motivated to eliminate Ar/O2 step as degradation of SiOCH occurs.

Possible alternative is He/H2 ICP plasma cleaning.

Hot H atoms (> 1 eV) are produced by dissociative excitation and charge exchange.

University of MichiganInstitute for Plasma Science & Engr.

H* remove PR and CFn while activating surface sites. Low mass of H reduces likelihood for sputter of CHn. Must optimize H* production

eHHHe 2 *

nnnn HCHSiCHSiHCHFHFSiCFSiH 3** ,,:

AVS10_07

Page 8: * Work supported by Semiconductor Research Corporation

MODELING : LOW-k PORE SEALING

Hybrid Plasma Equipment Model (HPEM)

Plasma Chemistry Monte Carlo Module (PCMCM)

Monte Carlo Feature Profile Model (MCFPM)

Energy and angular

distributions for ions and

neutrals

He/H2 PLASMA

Porous Low-k

Coils

Wafer Substrate

Metal

Plasma

Ar/NH3 PLASMAS

University of MichiganInstitute for Plasma Science & Engr.AVS10_08

Page 9: * Work supported by Semiconductor Research Corporation

MONTE CARLO FEATURE PROFILE MODEL (MCFPM)

The MCFPM resolves the surface topology on a 2D Cartesian mesh to predict etch profiles.

Each cell in the mesh has a material identity. (Cells are 4 x 4 A ).

Gas phase species are represented by Monte Carlo pseuodoparticles.

Pseuodoparticles are launched towards the wafer with energies and angles sampled from the distributions obtained from the PCMCM.

Cells identities changed, removed, added for reactions, etching, and deposition.

PCMCM

Energy and angular distributions for ions

and neutrals

HPEM

MCFPM

Provides etch rateAnd predicts etch

profile

University of MichiganInstitute for Plasma Science & Engr.AVS10_09

Page 10: * Work supported by Semiconductor Research Corporation

TYPICAL PLASMA PROPERTIES: H2/He ICP

Total ion density (cm-3):

1.5 x 1011

Neutral densities (cm-3):

H 9 x 1012

H2 7 x 1013

H2(v=1,5) 1.5 x 1012

Major fluxes to the substrate (cm-2 s-1):

H 6 x 1017

H2 3 x 1018

H2(v=1,3) 6 x 1016

H+ 2 x 1015

Conditions: H2/He = 25/75, 10 mTorr, 300 W ICP

University of MichiganInstitute for Plasma Science & Engr.AVS10_10

Page 11: * Work supported by Semiconductor Research Corporation

CCP for trench etch. Ar/C4F8/O2 = 80/15/5 40 mTorr, 300 sccm 10 MHz 5 kW

CFx polymer deposited on the side-walls efficiently seal the open pores. CFx polymers are harmful to diffusion barrier metals such as Ti and Ta.

Polymer layers can be removed by: He/H2 plasmas without surface

damage. O2 plasmas that etch the CH3

groups.

Ar/C4F8/O2 CCP TRENCH ETCH

Animation Slide-GIFUniversity of Michigan

Institute for Plasma Science & Engr.

Photo-Resist

Si

Porous Low-kSiCOH

AVS10_11

Page 12: * Work supported by Semiconductor Research Corporation

HOT H GENERATION: He/H2 ICP

Vibrational Excitation

e + H2(v=0) H2(v=1) + e

e + H2(v=n) H2(v=n+1) + e

Hot H Generation

e + H2(v=n) H** + H** + e

Charge Exchange Reactions

H2(v=n) + H2+ H2(v=n)** + H2

+

H2(v=n) + H2+ H** + H3

+

H + H2+ H2(v=0)** + H+

H2(v=n) + H+ H** + H2+

H + H+ H** + H+

Conditions: H2/He = 25/75, 10 mTorr, 300 W ICP

University of MichiganInstitute for Plasma Science & Engr.

**Translationally hotAVS10_12

Page 13: * Work supported by Semiconductor Research Corporation

POLYMER REMOVAL AND PR STRIPPING

He/H2 plasma used for both polymer (P) removal and photoresist (PR) stripping.

Hot H, H2, H+ and H2+ remove polymer and

masking PR layers as CH4, HF, and CxHyFz

H** + P(s) CF + HF

H** + P(s) CHF2

H2** + P(s) CH2F2

H** + PR(s) CH4

H2** + PR(s) CH4.

CHn groups are also activated by H removal

H** + CHn(s) CHn-1 + H2.

University of MichiganInstitute for Plasma Science & Engr.

Animation Slide-GIF

Si

PR

Porous Low-kSiCOH

**Translationally hotAVS10_13

Page 14: * Work supported by Semiconductor Research Corporation

POLYMER REMOVAL, CH3 DEPLETION

Ar/O2 plasma efficiently removes polymer.

Also removes CH3 groups in pores as O atoms diffuse into the porous network.

Net result is increase in pore size.

Pore openings can get too large to easily seal.

He/H2 plasma removes polymer without significantly depleting CH3.

University of MichiganInstitute for Plasma Science & Engr.

Si

Low-kSiCOH

AVS10_14

Page 15: * Work supported by Semiconductor Research Corporation

SEALING MECHANISM IN Ar/NH3 PLASMA

N/NHx species are adsorbed by activated sites forming Si-N and C-N bonds to seal pores.

Further Bond Breaking M+ + SiO2(s) SiO(s) + O(s) + M

M+ + SiO(s) Si(s) + O(s) + M

N/NHx Adsorption NHx + SiOn(s) SiOnNHx(s)

NHx + Si(s) SiNHx(s)

NHx + CHn-1 (s) CHn-1NHx(s)

NHx + P*(s) P(s) + NHx(s)

SiNHx-NHy/CNHx-NHy compounds seal the pores where end N are bonded to Si or C by C-N/Si-N

NHy + SiNHx(s) SiNHx-NHy(s)

NHy + CHn-1NHx(s) CHn-1NHx-NHy(s)University of Michigan

Institute for Plasma Science & Engr.AVS10_15

Page 16: * Work supported by Semiconductor Research Corporation

PORE-SEALING BY SUCCESSIVE He/H2 AND NH3/Ar TREATMENT

Surface pore sites are activated by 610s He/H2 plasma treatment.

Ar/NH3 plasma treatment seals the pores by forming bridging Si-N, N-N and Si-N bonds.

· Initial Surface Pores

University of MichiganInstitute for Plasma Science & Engr.

Animation Slide-GIF

· He/H2 Plasma Site Activation

· Ar/NH3 Plasma Pore Sealing

AVS10_16

Page 17: * Work supported by Semiconductor Research Corporation

SEALING: WITH POLYMER REMOVAL AND PR STRIP

Ar/O2 Clean: additional He treatment is required for surface activation, followed by NH3 plasma sealing.

He/H2 Clean: Performs both activation and cleaning in a single step. Can seal with NH3 just after the clean.

University of MichiganInstitute for Plasma Science & Engr.

Si

Animation Slide-GIF

He/H2 Activation

Sealing

He/H2

Activation Sealing

Si

AVS10_17

Page 18: * Work supported by Semiconductor Research Corporation

SEALING EFFICIENCY: PORE RADIUS Ar/O2 Clean: Sealing efficiency

decreases with increasing pore size.

H2/He Clean: Sealing is less sensitive to pore radius.

University of MichiganInstitute for Plasma Science & Engr.

PoorSealing

GoodSealing

He/H2

CleanAr/O2

Clean

Animation Slide-GIF

AVS10_18

Page 19: * Work supported by Semiconductor Research Corporation

SEALING EFFICIENCY: ASPECT RATIO

University of MichiganInstitute for Plasma Science & Engr.

O2 Clean: sealing efficiency on sidewalls decreases with increasing aspect ratio.

He/H2 Clean: sealing does not degrade with higher aspect ratio.

Hot H activates all of the surface sites due to its broad angular distribution.

AVS10_19

Page 20: * Work supported by Semiconductor Research Corporation

PULSING EFFECT ON PR REMOVAL: He/H2 ICP

Duty cycle reduction increases ion to neutral flux ratios.

A low duty cycle can increase PR removal rate.

Conditions: H2/He = 25/75, 10mTorr, 300 W ICPAVS10_20

SiCOH

PR

Page 21: * Work supported by Semiconductor Research Corporation

CONCLUDING REMARKS Integrated porous low-k material sealing was investigated

Ar/C4F8/O2 Etch H2/He Clean, PR Strip, and Surface Activation Ar/NH3 Sealing

He/H2 plasmas clean polymer, strips off PR and activates surface sites in a single step. Higher activation and lower damage seal the surface better.

Pulsing can enhance the PR removal rate.

Si-N and C-N bonds formed by adsorption on active sites followed by one N-N bond linking C or Si atoms from opposite pore walls.

For Ar/O2 clean, sealing efficiency degrades when pore radius is >1 nm and aspect ratio >10. He/H2 clean enables sealing of larger pores and higher aspect ratio trenches.

University of MichiganInstitute for Plasma Science & Engr.AVS10_21