16
GLOBALFOUNDRIES Leading Edge Technology 6-9 th June 2011 DAC 2011 Update

Globalfoundries, DAC 2011 Update

  • View
    1.909

  • Download
    2

Embed Size (px)

DESCRIPTION

Globalfoundries and Magma presented an update at the DAC 2011 show, talking about 28nm production, IP libraries and tool flows.

Citation preview

Page 1: Globalfoundries, DAC 2011 Update

GLOBALFOUNDRIES Leading Edge Technology

6-9th June 2011

DAC 2011 Update

Page 2: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

Only Pure-Play Foundry in HKMG Production32nm “Llano” Yields Climb as Production Ramps

Q4 2010 - Q1 2011

Prim

e D

ie Y

ield

- Lot Yield Averages

Do = 0.13

“Llano” is the first HKMG Product in

the Foundry Industry

2

See “Llano” Demos in our Booth #1517

See “Llano”-powered Laptops in Stores this Month!

Page 3: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

4Q10 1Q11 2Q11 3Q11 4Q11

Leading the Foundry Ramp in HKMG

Ramping HKMG in volume ahead of others*

Other leading foundries

* Data based on customers’ and GLOBALFOUNDRIES projections. Comparison done for pure play foundries only.

3

Page 4: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

Forget the FUD - Here are the FACTS

• Only foundry to ship HKMG in volume• Excellent SRAM yields (0.120um2 bitcell)

Gate First HKMG not manufacturable?

• 3GHz* on Cortex-A9 for 28nm-HPP• 2GHz* on Cortex-A9 for 28nm-SLP

Gate First HKMG not high performance capable?

• Passed all reliability tests• No burn-in requirements

Gate First HKMG is not reliable?

• Multi-Vt and multi-channel length options• Lowest Isb SRAM with 28nm-SLP

Gate First HKMG is not low power capable?

• HKMG key modules understood & mastered• Excellent process and electrical control

Gate First HKMG process control is difficult?

* Based on GLOBALFOUNDRIES simulation results and assumptions (TT condition)

4

Page 5: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

Understanding and Delivering Market Needs

PerformanceMob

ileD

eskt

op

Tablets

MOBILE COMPUTING DIGITAL

CONSUMER

Laptop, Netbooks

Wireless Connectivity

Feature phones

Smartphones

LOW POWER

Up to 1GHz

1-3GHz

HIGH PERFORMANCE

STB

DTVGraphics

Games Networking

> 3GHz

5

Watts

Up to ‘00s mW

Up to ‘0s mW

Page 6: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

Two Platforms to Cover Your Needs

Performance

Tablets

MOBILE COMPUTING DIGITAL

CONSUMER

Laptop, Netbooks

Wireless Connectivity

Feature phones

Smartphones

LOW POWER

Watts

Up to ‘00s mW

Up to ‘0s mW

Up to 1GHz

1-3GHz

> 3GHz

HIGH PERFORMANCE

STB

DTVGraphics

Games Networking

28nm-HPP

28nm-SLPLow maskcount, no stress engineering for lowest cost

Mob

ileD

eskt

op

6

SLVT, OD option for > 2.3GHz

Stressors added for > 3.1Ghz

UHVt + LLSRAM for power mgmt

Page 7: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

Example of typical implementation of a Low Power product

With HP/HPM technology 28nm-SLP

“Gate First” 28nm Die Size Advantage

Up to 10% going

to gate last

Up to 5-10 % additional

increase with UHVt and

power management

Up to 20% die size advantage with 28nm-SLP vs other foundries superior GHz product costs/form factor

7

Page 8: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

28nm-SLP: Best Performance at Low Cost

Increasing Cost (arb. units)

CPU

Clo

ck (

GH

z)

28nmHPP

Other foundry

28HPM

1.0 1.1 1.2

1.0

2.0

3.0

28nmSLP

1.3

+10% (mask adders due to stressors)

+10% (area scaling disadvantage of gate last HKMG)

+ 5-10% (area overhead for power management, larger UHVt device)

28nm-SLP has up to 30% cost benefit over HPM

8

Page 9: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

Enablement is Structured to Provide Comprehensive and Differentiated Solutions

TechnologySolutions

Design Interface

Technology Services

Technology IP

Tech filesand PDK

Reference EDA flow

DFM

DesignInfrastructure

Foundation IP

Differentiated IP

Cultivation of Eco-System

IP Eco-System

TapeoutOperation

Data delivery portal

Qualityconformance

Design Enablement Operations

Design Solutions

SoC Enablement

Design services

Test Vehiclesand Shuttles

Collaboration with customers &

ecosystem partners to optimize

customers’ product performance,

leakage and yields

Design enabling ecosystem with Reference Flows, DEM/DFM, PDKs

and IPs flowing from multiple industry leaders

9

Page 10: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

28nm – Extensive enablement in place

IP Category Wireless Comms

Mobile computing

Digital Consumer

ComputingNetworking

Foundation Std cells SLP SLP, HPP SLP, HPP SLP, HPP

Foundation Memory Compiler SLP SLP, HPP SLP, HPP SLP, HPP

Foundation GPIO SLP SLP, HPP SLP, HPP SLP, HPP

Basic Specialty IO SLP SLP, HPP SLP, HPP SLP, HPP

Basic PLL Library SLP SLP, HPP SLP, HPP SLP, HPP

Basic USB2.0 HS SLP SLP, HPP SLP, HPP NA

Basic USB3.0 SLP SLP, HPP SLP, HPP NA

Complex PCIe1.1 NA NA SLP, HPP SLP, HPP

Complex PCIe2 NA NA SLP, HPP SLP, HPP

Complex SATA I / II NA SLP, HPP SLP, HPP SLP, HPP

Complex SATA 6G NA NA SLP, HPP SLP, HPP

Complex XAUI NA NA NA SLP, HPP

Complex LPDDR2+ SLP SLP, HPP NA NA

Complex DDR2/3 NA NA SLP, HPP SLP, HPP

Complex HDMI1.4 Tx SLP SLP, HPP SLP, HPP NA

Complex HDMI1.4 Rx NA HPP HPP NA

Complex MIPI D-PHY SLP (HPP) NA NA

Complex HSIC SLP NA NA NA

28nm-SLP Full Design Kits Available NOW Well suited to cover wireless comms, mobile computing and digital consumer needs

28nm-HPP Front End Views Available, Full Design Kits Q4 Targeted for mobile computing, digital consumer and certain segments of computing/networking

10

Page 11: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

GLOBALFOUNDRIES 28nm Fabs

East Fishkill, NY

Austin, TX

HeadquartersSi Valley, CA

London, UK

Yokohama,Japan

Shanghai,China

Hsinchu,Taiwan

Munich, Germany

Sales and Support Offices

Saratoga, NY

28nm manufacturing will be available in both Fab1 Germany and Fab8 New York

Dresden, Germany

Singapore

Manufacturing sites

11

Plus, Added Flexibility with Common Platform FabSync – IBM, SEC

Page 12: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

Fab 1: Dresden, Germany

Fab 1 – Output Expanding to ~1M wafers/year Construction of 110,000 sq ft of new clean room space Brings total output to 80,000 wafers per month Completely 45/40nm and below technology

12

Page 13: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

Fab 8: Saratoga County, NY

Most advanced 300mm wafer fab in the world Designed for 28/20nm technologies 60,000 wafer starts per month once fully ramped Construction started in July 2009 with production

expected to come online in 2012 Campus accommodates up to 2 more fabs

13

Page 14: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

Enabling 28nm IP Silicon validation with MPW Program

4 shuttles in 2011 MPW0309 and MPW0310 fully

subscribed 28nm-HPP supported from MPW0311

onwards

4 shuttles planned for 2012

MPW0305MPW0306

MPW0307

Tech. Node

2011

Jan Feb Mar Apr May Jun Jul Aug Sep Oct Nov Dec

28nm   0309(07 Feb)

    0310(03 May)

    0311(15 Aug)

    0312(01 Nov)

 

MPW0308

Tech. Node

2012Jan Feb Mar Apr May Jun Jul Aug Sep Oct Nov Dec

28nm   0313     0314     0315     0316  

MPW0309

14

Page 15: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

Most competitive solution at 20nm

HIGH PERFORMANCE

LOW POWER Extreme low power & cost sensitive

MOBILE , HIGH PERFORMANCE

Low power GHz applications, cost optimized

Mobile, high end applications > 3GHz

Wired, desktop applications

High performance to extreme high performance (>4GHz)

Cos

tLo

w P

ower

Hig

h Pe

rfor

man

ce

20LPM

20SHP(additional perf option)

• 50% area scaling from 28nm

• Single technology platform with multi Vt and multi Lg support

• >30% performance over 28nm

• Silicon-based PDK available

• Innovative MOL, BEOL for cost/density optimization

• First MPW in 4Q2011

15

Page 16: Globalfoundries, DAC 2011 Update

Delivering Innovation Through True Collaboration

Summary

Gate First HKMG in high volume production at GLOBALFOUNDRIES

We are the only pure-play foundry in HKMG production

28nm is ready and prototyping

28nm-SLP ideal for cost sensitive, GHz mobile consumer applications

28nm-HPP enables high performance computing markets (>2.5GHz)

Accelerate your designs with comprehensive design enablement

$5.4B investment in global capacity expansion in 2011

20nm is well underway

16