The top documents tagged [propagated clock timing]