94
DIGITAL ELECTRONICS LAB Ex. No:1 STUDY OF LOGIC GATES Date: AIM: To study about logic gates and verify their truth tables. APPARATUS REQUIRED: THEORY: Circuit that takes the logical decision and the process are called logic gates. Each gate has one or more input and only one output. Page No: 4 SL No. COMPONENT SPECIFICATIO N QTY 1. AND GATE IC 7408 1 2. OR GATE IC 7432 1 3. NOT GATE IC 7404 1 4. NAND GATE 2 I/P IC 7400 1 5. NOR GATE IC 7402 1 6. X-OR GATE IC 7486 1 7. NAND GATE 3 I/P IC 7410 1 8. IC TRAINER KIT - 1 9. PATCH CORD - 14

Digital electronics lab

Embed Size (px)

Citation preview

Page 1: Digital electronics lab

DIGITAL ELECTRONICS LAB

Ex. No:1

STUDY OF LOGIC GATESDate:

AIM: To study about logic gates and verify their truth tables.

APPARATUS REQUIRED:

THEORY:

Circuit that takes the logical decision and the process are called logic gates.

Each gate has one or more input and only one output.

OR, AND and NOT are basic gates. NAND, NOR and X-OR are known as

universal gates. Basic gates form these gates.

AND GATE:

The AND gate performs a logical multiplication commonly known as AND

function. The output is high when both the inputs are high. The output is low level

when any one of the inputs is low.

OR GATE:

Page No: 4

SL No. COMPONENT SPECIFICATION QTY1. AND GATE IC 7408 12. OR GATE IC 7432 13. NOT GATE IC 7404 14. NAND GATE 2 I/P IC 7400 15. NOR GATE IC 7402 16. X-OR GATE IC 7486 17. NAND GATE 3 I/P IC 7410 18. IC TRAINER KIT -  19. PATCH CORD  - 14

Page 2: Digital electronics lab

DIGITAL ELECTRONICS LAB

The OR gate performs a logical addition commonly known as OR function.

The output is high when any one of the inputs is high. The output is low level when

both the inputs are low.

NOT GATE:

The NOT gate is called an inverter. The output is high when the input is low.

The output is low when the input is high.

NAND GATE:

The NAND gate is a contraction of AND-NOT. The output is high when both

inputs are low and any one of the input is low .The output is low level when both

inputs are high.

NOR GATE:

The NOR gate is a contraction of OR-NOT. The output is high when both

inputs are low. The output is low when one or both inputs are high.

X-OR GATE:

The output is high when any one of the inputs is high. The output is low when

both the inputs are low and both the inputs are high.

PROCEDURE:(i) Connections are given as per circuit diagram.

(ii) Logical inputs are given as per circuit diagram.

(iii) Observe the output and verify the truth table.

AND GATE:

SYMBOL: PIN DIAGRAM:

Page No: 5

Page 3: Digital electronics lab

DIGITAL ELECTRONICS LAB

OR GATE:

NOT GATE:

SYMBOL: PIN DIAGRAM:

Page No: 6

Page 4: Digital electronics lab

DIGITAL ELECTRONICS LAB

X-OR GATE :

SYMBOL : PIN DIAGRAM :

2-INPUT NAND GATE:

Page No: 7

Page 5: Digital electronics lab

DIGITAL ELECTRONICS LAB

SYMBOL: PIN DIAGRAM:

3-INPUT NAND GATE :

NOR GATE:

Page No: 8

Page 6: Digital electronics lab

DIGITAL ELECTRONICS LAB

RESULT:

Thus the logic gates are studied and their truth tables are verified.

Ex. No: 2 DESIGN OF ADDER AND SUBTRACTOR

Page No: 9

Page 7: Digital electronics lab

DIGITAL ELECTRONICS LAB

Date:

AIM: To design and construct half adder, full adder, half subtractor and full

subtractor circuits and verify the truth table using logic gates.

APPARATUS REQUIRED:

Sl.No. COMPONENT SPECIFICATION QTY.1. AND GATE IC 7408 12. X-OR GATE IC 7486 13. NOT GATE IC 7404 14. OR GATE IC 7432 13. IC TRAINER KIT - 14. PATCH CORDS - 23

THEORY:

HALF ADDER:

A half adder has two inputs for the two bits to be added and two outputs one

from the sum ‘ S’ and other from the carry ‘ c’ into the higher adder position. Above

circuit is called as a carry signal from the addition of the less significant bits sum from

the X-OR Gate the carry out from the AND gate.

FULL ADDER:

A full adder is a combinational circuit that forms the arithmetic sum of input; it

consists of three inputs and two outputs. A full adder is useful to add three bits at a

time but a half adder cannot do so. In full adder sum output will be taken from X-OR

Gate, carry output will be taken from OR Gate.

HALF SUBTRACTOR:

Page No: 10

Page 8: Digital electronics lab

DIGITAL ELECTRONICS LAB

The half subtractor is constructed using X-OR and AND Gate. The half

subtractor has two input and two outputs. The outputs are difference and borrow. The

difference can be applied using X-OR Gate, borrow output can be implemented using

an AND Gate and an inverter.

FULL SUBTRACTOR:

The full subtractor is a combination of X-OR, AND, OR, NOT Gates. In a full

subtractor the logic circuit should have three inputs and two outputs. The two half

subtractor put together gives a full subtractor .The first half subtractor will be C and A

B. The output will be difference output of full subtractor. The expression AB

assembles the borrow output of the half subtractor and the second term is the inverted

difference output of first X-OR.

LOGIC DIAGRAM:

HALF ADDER

TRUTH TABLE:

A B CARRY SUM

0011

0101

0001

0110

K-Map for SUM: K-Map for CARRY:

Page No: 11

Page 9: Digital electronics lab

DIGITAL ELECTRONICS LAB

SUM = A’B + AB’ CARRY = AB

LOGIC DIAGRAM:

FULL ADDER USING TWO HALF ADDER

TRUTH TABLE:

A B C CARRY SUM

00001111

00110011

01010101

00010111

01101001

K-Map for SUM: K-Map for CARRY:

Page No: 12

Page 10: Digital electronics lab

DIGITAL ELECTRONICS LAB

SUM = A’B’C + A’BC’ + ABC’ + ABC CARRY = AB + BC + AC

LOGIC DIAGRAM:HALF SUBTRACTOR

TRUTH TABLE:A B BORROW DIFFERENCE

0011

0101

0100

0110

K-Map for DIFFERENCE: K-Map for BORROW:

DIFFERENCE = A’B + AB’ BORROW = A’B

FULL SUBTRACTOR

Page No: 13

Page 11: Digital electronics lab

DIGITAL ELECTRONICS LAB

FULL SUBTRACTOR USING TWO HALF SUBTRACTOR:

TRUTH TABLE:A B C BORROW DIFFERENCE

00001111

00110011

01010101

01110001

01101001

Page No: 14

Page 12: Digital electronics lab

DIGITAL ELECTRONICS LAB

K-Map for Difference: K-Map for Borrow:

Difference = A’B’C + A’BC’ + AB’C’ + ABC Borrow = A’B + BC + A’C

PROCEEDURE:(i) Connections are given as per circuit diagram.

(ii) Logical inputs are given as per circuit diagram.

(iii) Observe the output and verify the truth table.

RESULT: Thus the half adder, full adder, half subtractor and full subtractor circuits

are designed and the truth tables are verified.

Page No: 15

Page 13: Digital electronics lab

DIGITAL ELECTRONICS LAB

Ex. No: 3 DESIGN AND IMPLEMENTATION OF CODE CONVERTOR

Date:

AIM: To design and implement 4-bit (i) Binary to gray code converter(ii) Gray to binary code converter(iii) BCD to excess-3 code converter(iv) Excess-3 to BCD code converter

APPARATUS REQUIRED:Sl.No. COMPONENT SPECIFICATION QTY.

1. X-OR GATE IC 7486 12. AND GATE IC 7408 13. OR GATE IC 7432 14. NOT GATE IC 7404 15. IC TRAINER KIT - 16. PATCH CORDS - 35

THEORY:The availability of large variety of codes for the same discrete elements of

information results in the use of different codes by different systems. A conversion

circuit must be inserted between the two systems if each uses different codes for same

information. Thus, code converter is a circuit that makes the two systems compatible

even though each uses different binary code.

A code converter is a circuit that makes the two systems compatible even

though each uses a different binary code. To convert from binary code to Excess-3

code, the input lines must supply the bit combination of elements as specified by code

and the output lines generate the corresponding bit combination of code. Each one of

the four maps represents one of the four outputs of the circuit as a function of the four

input variables.

Page No: 16

Page 14: Digital electronics lab

DIGITAL ELECTRONICS LAB

A two-level logic diagram may be obtained directly from the Boolean

expressions derived by the maps. These are various other possibilities for a logic

diagram that implements this circuit.

LOGIC DIAGRAM:BINARY TO GRAY CODE CONVERTOR

K-Map for G3: K-Map for G2:

G3 = B3

K-Map for G1: K-Map for G0:

Page No: 17

Page 15: Digital electronics lab

DIGITAL ELECTRONICS LAB

TRUTH TABLE:| Binary input | Gray code output |

B3 B2 B1 B0 G3 G2 G1 G00000000011111111

0000111100001111

0011001100110011

0101010101010101

0000000011111111

0000111111110000

0011110000111100

0110011001100110

LOGIC DIAGRAM:GRAY CODE TO BINARY CONVERTOR

Page No: 18

Page 16: Digital electronics lab

DIGITAL ELECTRONICS LAB

TRUTH TABLE:

| Gray Code | Binary Code |

G3 G2 G1 G0 B3 B2 B1 B0

0000000011111111

0000111111110000

0011110000111100

0110011001100110

0000000011111111

0000111100001111

0011001100110011

0101010101010101

K-Map for B3: K-Map for B2:

B3 = G3

Page No: 19

Page 17: Digital electronics lab

DIGITAL ELECTRONICS LAB

K-Map for B1: K-Map for B0:

LOGIC DIAGRAM:BCD TO EXCESS-3 CONVERTOR

K-Map for E3: K-Map for E2:

E3 = B3 + B2 (B0 + B1)

Page No: 20

Page 18: Digital electronics lab

DIGITAL ELECTRONICS LAB

K-Map for E1: K-Map for E0:

TRUTH TABLE:

| BCD input | Excess – 3 output |B3 B2 B1 B0 G3 G2 G1 G0

0000000011111111

0000111100001111

0011001100110011

0101010101010101

0000011111xxxxxx

0111100001xxxxxx

1001100110xxxxxx

1010101010xxxxxx

Page No: 21

Page 19: Digital electronics lab

DIGITAL ELECTRONICS LAB

EXCESS-3 TO BCD CONVERTOR:

K-Map for A: K-Map for B:

A = X1 X2 + X3 X4 X1

K-Map for C: K-Map for D:

Page No: 22

Page 20: Digital electronics lab

DIGITAL ELECTRONICS LAB

TRUTH TABLE:

| Excess – 3 Input | BCD Output |

B3 B2 B1 B0 G3 G2 G1 G0

0000011111

0111100001

1001100110

1010101010

0000000011

0000111100

0011001100

0101010101

PROCEDURE:

(i) Connections were given as per circuit diagram.

(ii) Logical inputs were given as per truth table

(iii) Observe the logical output and verify with the truth tables.

RESULT:

Thus the code converters are designed and verified using truth table.

Page No: 23

Page 21: Digital electronics lab

DIGITAL ELECTRONICS LAB

Ex. No: 4 DESIGN OF 4-BIT ADDER AND SUBTRACTOR

Date:

AIM: To design and implement 4-bit adder and subtractor using IC 7483.

APPARATUS REQUIRED:Sl.No. COMPONENT SPECIFICATION QTY.

1. IC IC 7483 12. EX-OR GATE IC 7486 13. NOT GATE IC 7404 13. IC TRAINER KIT - 14. PATCH CORDS - 40

THEORY:

4 BIT BINARY ADDER:A binary adder is a digital circuit that produces the arithmetic sum of two

binary numbers. It can be constructed with full adders connected in cascade, with the

output carry from each full adder connected to the input carry of next full adder in

chain. The augends bits of ‘A’ and the addend bits of ‘B’ are designated by subscript

numbers from right to left, with subscript 0 denoting the least significant bits. The

carries are connected in chain through the full adder. The input carry to the adder is C0

and it ripples through the full adder to the output carry C4.

4 BIT BINARY SUBTRACTOR:

The circuit for subtracting A-B consists of an adder with inverters, placed

between each data input ‘B’ and the corresponding input of full adder. The input carry

C0 must be equal to 1 when performing subtraction.

Page No: 24

Page 22: Digital electronics lab

DIGITAL ELECTRONICS LAB

4 BIT BINARY ADDER/SUBTRACTOR:

The addition and subtraction operation can be combined into one circuit with

one common binary adder. The mode input M controls the operation. When M=0, the

circuit is adder circuit. When M=1, it becomes subtractor.

4 BIT BCD ADDER:

Consider the arithmetic addition of two decimal digits in BCD, together with an

input carry from a previous stage. Since each input digit does not exceed 9, the output

sum cannot be greater than 19, the 1 in the sum being an input carry. The output of

two decimal digits must be represented in BCD and should appear in the form listed in

the columns.

ABCD adder that adds 2 BCD digits and produce a sum digit in BCD. The 2

decimal digits, together with the input carry, are first added in the top 4 bit adder to

produce the binary sum.

PIN DIAGRAM FOR IC 7483:

Page No: 25

Page 23: Digital electronics lab

DIGITAL ELECTRONICS LAB

LOGIC DIAGRAM:4-BIT BINARY ADDER

LOGIC DIAGRAM:4-BIT BINARY SUBTRACTOR

Page No: 26

Page 24: Digital electronics lab

DIGITAL ELECTRONICS LAB

LOGIC DIAGRAM:4-BIT BINARY ADDER/SUBTRACTOR

TRUTH TABLE:

Page No: 27

Input Data A Input Data B Addition Subtraction

A4 A3 A2 A1 B4 B3 B2 B1 C S4 S3 S2 S1 B D4 D3 D2 D1

1 0 0 0 0 0 1 0 0 1 0 1 0 1 0 1 1 0

1 0 0 0 1 0 0 0 1 0 0 0 0 1 0 0 0 0

0 0 1 0 1 0 0 0 0 1 0 1 0 0 1 0 1 0

0 0 0 1 0 1 1 1 0 1 0 0 0 0 1 0 1 0

1 0 1 0 1 0 1 1 1 0 0 1 0 0 1 1 1 1

1 1 1 0 1 1 1 1 1 1 0 1 0 0 1 1 1 1

1 0 1 0 1 1 0 1 1 0 1 1 1 0 1 1 0 1

Page 25: Digital electronics lab

DIGITAL ELECTRONICS LAB

LOGIC DIAGRAM:BCD ADDER

K MAP

Y = S4 (S3 + S2)

Page No: 28

Page 26: Digital electronics lab

DIGITAL ELECTRONICS LAB

TRUTH TABLE:

BCD SUM CARRYS4 S3 S2 S1 C0 0 0 0 00 0 0 1 00 0 1 0 00 0 1 1 00 1 0 0 00 1 0 1 00 1 1 0 00 1 1 1 01 0 0 0 01 0 0 1 01 0 1 0 11 0 1 1 11 1 0 0 11 1 0 1 11 1 1 0 11 1 1 1 1

PROCEDURE:

(i) Connections were given as per circuit diagram.

(ii) Logical inputs were given as per truth table

(iii) Observe the logical output and verify with the truth tables.

RESULT:

Thus the 4-bit adder and subtractor circuits are implemented using IC 7483.

Page No: 29

Page 27: Digital electronics lab

DIGITAL ELECTRONICS LAB

Ex. No: 5 DESIGN AND IMPLEMENTATION OF MAGNITUDE

COMPARATORDate:

AIM: To design and implement

(i) 2 – Bit magnitude comparator using basic gates.

(ii) 8 – Bit magnitude comparator using IC 7485.

APPARATUS REQUIRED:

Sl.No. COMPONENT SPECIFICATION QTY.1. AND GATE IC 7408 22. X-OR GATE IC 7486 13. OR GATE IC 7432 14. NOT GATE IC 7404 15. 4-BIT MAGNITUDE

COMPARATOR IC 7485 2

6. IC TRAINER KIT - 17. PATCH CORDS - 30

THEORY:The comparison of two numbers is an operator that determine one number is

greater than, less than (or) equal to the other number. A magnitude comparator is a

combinational circuit that compares two numbers A and B and determine their relative

magnitude. The outcome of the comparator is specified by three binary variables that

indicate whether A>B, A=B (or) A<B.

A = A3 A2 A1 A0 B = B3 B2 B1 B0

The equality of the two numbers and B is displayed in a combinational circuit

designated by the symbol (A=B).

This indicates A greater than B, then inspect the relative magnitude of pairs of

significant digits starting from most significant position. A is 0 and that of B is 0.

Page No: 30

Page 28: Digital electronics lab

DIGITAL ELECTRONICS LAB

We have A<B, the sequential comparison can be expanded as

A>B = A3B31 + X3A2B2

1 + X3X2A1B11 + X3X2X1A0B0

1

A<B = A31B3 + X3A2

1B2 + X3X2A11B1 + X3X2X1A0

1B0

The same circuit can be used to compare the relative magnitude of two BCD

digits.

Where, A = B is expanded as,

A = B = (A3 + B3) (A2 + B2) (A1 + B1) (A0 + B0) x3 x2 x1 x0

LOGIC DIAGRAM:2 BIT MAGNITUDE COMPARATOR

K MAP

Page No: 31

Page 29: Digital electronics lab

DIGITAL ELECTRONICS LAB

TRUTH TABLE

A1 A0 B1 B0 A > B A = B A < B0 0 0 0 0 1 00 0 0 1 0 0 10 0 1 0 0 0 10 0 1 1 0 0 10 1 0 0 1 0 00 1 0 1 0 1 00 1 1 0 0 0 10 1 1 1 0 0 11 0 0 0 1 0 01 0 0 1 1 0 01 0 1 0 0 1 01 0 1 1 0 0 11 1 0 0 1 0 01 1 0 1 1 0 01 1 1 0 1 0 01 1 1 1 0 1 0

PIN DIAGRAM FOR IC 7485:

Page No: 32

Page 30: Digital electronics lab

DIGITAL ELECTRONICS LAB

LOGIC DIAGRAM:8 BIT MAGNITUDE COMPARATOR

TRUTH TABLE:A B A>B A=B A<B

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 00 0 0 1 0 0 0 1 0 0 0 0 0 0 0 0 1 0 00 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1

PROCEDURE:

Page No: 33

Page 31: Digital electronics lab

DIGITAL ELECTRONICS LAB

(i) Connections are given as per circuit diagram.

(ii) Logical inputs are given as per circuit diagram.

(iii) Observe the output and verify the truth table.

RESULT:

Thus the 2-bit and 8-bit magnitude comparator circuits are implemented using logic gates and IC 7485.

Page No: 34

Page 32: Digital electronics lab

DIGITAL ELECTRONICS LAB

Ex. No: 6

16 BIT ODD/EVEN PARITY CHECKER /GENERATOR Date:

AIM: To design and implement 16 bit odd/even parity checker and generator using IC

74180.

APPARATUS REQUIRED:

Sl.No. COMPONENT SPECIFICATION QTY.1. NOT GATE IC 7404 11. PARITY IC IC 74180 22. IC TRAINER KIT - 13. PATCH CORDS - 30

THEORY:

A parity bit is used for detecting errors during transmission of binary

information. A parity bit is an extra bit included with a binary message to make the

number is either even or odd. The message including the parity bit is transmitted and

then checked at the receiver ends for errors. An error is detected if the checked parity

bit doesn’t correspond to the one transmitted. The circuit that generates the parity bit

in the transmitter is called a ‘parity generator’ and the circuit that checks the parity in

the receiver is called a ‘parity checker’.

In even parity, the added parity bit will make the total number is even amount.

In odd parity, the added parity bit will make the total number is odd amount. The

parity checker circuit checks for possible errors in the transmission. If the information

is passed in even parity, then the bits required must have an even number of 1’s. An

error occur during transmission, if the received bits have an odd number of 1’s

indicating that one bit has changed in value during transmission.

PIN DIAGRAM FOR IC 74180:

Page No: 35

Page 33: Digital electronics lab

DIGITAL ELECTRONICS LAB

FUNCTION TABLE:INPUTS OUTPUTS

Number of High DataInputs (I0 – I7)

PE PO ∑E ∑O

EVEN 1 0 1 0ODD 1 0 0 1

EVEN 0 1 0 1ODD 0 1 1 0

X 1 1 0 0X 0 0 1 1

LOGIC DIAGRAM:

16 BIT ODD/EVEN PARITY CHECKER

Page No: 36

Page 34: Digital electronics lab

DIGITAL ELECTRONICS LAB

TRUTH TABLE:

I7 I6 I5 I4 I3 I2 I1 I0 I7’I6’I5’I4’I3’I2’11’ I0’ Active ∑E ∑O0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 1 00 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 0 1 00 0 0 0 0 1 1 0 0 0 0 0 0 1 1 0 1 0 1

LOGIC DIAGRAM:16 BIT ODD/EVEN PARITY GENERATOR

TRUTH TABLE:

I7 I6 I5 I4 I3 I2 I1 I0 I7 I6 I5 I4 I3 I2 I1 I0 Active ∑E ∑O1 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 01 1 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 11 1 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 1 0

Page No: 37

Page 35: Digital electronics lab

DIGITAL ELECTRONICS LAB

PROCEDURE:

(i) Connections are given as per circuit diagram.

(ii) Logical inputs are given as per circuit diagram.

(iii) Observe the output and verify the truth table.

RESULT:

Thus the 16 bit odd/even parity checker and generator circuit designed and

implemented using IC 74180.

Page No: 38

Page 36: Digital electronics lab

DIGITAL ELECTRONICS LAB

Ex. No: 7 DESIGN AND IMPLEMENTATION OF MULTIPLEXER AND

DEMULTIPLEXERDate:

AIM: To design and implement multiplexer and demultiplexer using logic gates and

study of IC 74150 and IC 74154.

APPARATUS REQUIRED:

Sl.No. COMPONENT SPECIFICATION QTY.1. 3 I/P AND GATE IC 7411 22. OR GATE IC 7432 13. NOT GATE IC 7404 12. IC TRAINER KIT - 13. PATCH CORDS - 32

THEORY:MULTIPLEXER:

Multiplexer means transmitting a large number of information units over a

smaller number of channels or lines. A digital multiplexer is a combinational circuit

that selects binary information from one of many input lines and directs it to a single

output line. The selection of a particular input line is controlled by a set of selection

lines. Normally there are 2n input line and n selection lines whose bit combination

determine which input is selected.

DEMULTIPLEXER:

The function of Demultiplexer is in contrast to multiplexer function. It takes

information from one line and distributes it to a given number of output lines. For this

reason, the demultiplexer is also known as a data distributor. Decoder can also be used

as demultiplexer. In the 1: 4 demultiplexer circuit, the data input line goes to all of the

AND gates. The data select lines enable only one gate at a time and the data on the

data input line will pass through the selected gate to the associated data output line.

Page No: 39

Page 37: Digital electronics lab

DIGITAL ELECTRONICS LAB

BLOCK DIAGRAM FOR 4:1 MULTIPLEXER:

FUNCTION TABLE:

S1 S0 INPUTS Y0 0 D0 → D0 S1’ S0’0 1 D1 → D1 S1’ S01 0 D2 → D2 S1 S0’1 1 D3 → D3 S1 S0

Y = D0 S1’ S0’ + D1 S1’ S0 + D2 S1 S0’ + D3 S1 S0

CIRCUIT DIAGRAM FOR MULTIPLEXER:

TRUTH TABLE:

S1 S0 Y = OUTPUT0 0 D00 1 D11 0 D2

Page No: 40

Page 38: Digital electronics lab

DIGITAL ELECTRONICS LAB

1 1 D3BLOCK DIAGRAM FOR 1:4 DEMULTIPLEXER:

FUNCTION TABLE:

S1 S0 INPUT

0 0 X → D0 = X S1’ S0’

0 1 X → D1 = X S1’ S0

1 0 X → D2 = X S1 S0’

1 1 X → D3 = X S1 S0

Y = X S1’ S0’ + X S1’ S0 + X S1 S0’ + X S1 S0

TRUTH TABLE:

INPUT OUTPUTS1 S0 I/P D0 D1 D2 D30 0 0 0 0 0 00 0 1 1 0 0 00 1 0 0 0 0 00 1 1 0 1 0 01 0 0 0 0 0 01 0 1 0 0 1 01 1 0 0 0 0 01 1 1 0 0 0 1

Page No: 41

Page 39: Digital electronics lab

DIGITAL ELECTRONICS LAB

LOGIC DIAGRAM FOR DEMULTIPLEXER:

TRUTH TABLE:

INPUT OUTPUTS1 S0 I/P D0 D1 D2 D30 0 0 0 0 0 00 0 1 1 0 0 00 1 0 0 0 0 00 1 1 0 1 0 01 0 0 0 0 0 01 0 1 0 0 1 01 1 0 0 0 0 01 1 1 0 0 0 1

PIN DIAGRAM FOR IC 74150:

Page No: 42

Page 40: Digital electronics lab

DIGITAL ELECTRONICS LAB

PIN DIAGRAM FOR IC 74154:

PROCEDURE:

(i) Connections are given as per circuit diagram.

(ii) Logical inputs are given as per circuit diagram.

(iii) Observe the output and verify the truth table.

Page No: 43

Page 41: Digital electronics lab

DIGITAL ELECTRONICS LAB

RESULT: Thus the multiplexer and demultiplexer circuits are designed and implemented

using logic gates, IC 74150 and IC 74154.

Ex. No: 8

DESIGN AND IMPLEMENTATION OF ENCODER AND DECODER Date:

AIM: To design and implement encoder and decoder using logic gates and study of

IC 7445 and IC 74147.

APPARATUS REQUIRED:

Sl.No. COMPONENT SPECIFICATION QTY.1. 3 I/P NAND GATE IC 7410 22. OR GATE IC 7432 33. NOT GATE IC 7404 12. IC TRAINER KIT - 13. PATCH CORDS - 27

THEORY:ENCODER:

An encoder is a digital circuit that perform inverse operation of a decoder. An

encoder has 2n input lines and n output lines. In encoder the output lines generates the

binary code corresponding to the input value. In octal to binary encoder it has eight

inputs, one for each octal digit and three output that generate the corresponding binary

code. In encoder it is assumed that only one input has a value of one at any given time

Page No: 44

Page 42: Digital electronics lab

DIGITAL ELECTRONICS LAB

otherwise the circuit is meaningless. It has an ambiguila that when all inputs are zero

the outputs are zero. The zero outputs can also be generated when D0 = 1.

DECODER:

A decoder is a multiple input multiple output logic circuit which converts coded

input into coded output where input and output codes are different. The input code

generally has fewer bits than the output code. Each input code word produces a

different output code word i.e there is one to one mapping can be expressed in truth

table. In the block diagram of decoder circuit the encoded information is present as n

input producing 2n possible outputs. 2n output values are from 0 through out 2n – 1.

PIN DIAGRAM FOR IC 7445:BCD TO DECIMAL DECODER:

PIN DIAGRAM FOR IC 74147:

Page No: 45

Page 43: Digital electronics lab

DIGITAL ELECTRONICS LAB

LOGIC DIAGRAM FOR ENCODER:

TRUTH TABLE:

Page No: 46

Page 44: Digital electronics lab

DIGITAL ELECTRONICS LAB

INPUT OUTPUT

Y1 Y2 Y3 Y4 Y5 Y6 Y7 A B C

1 0 0 0 0 0 0 0 0 1

0 1 0 0 0 0 0 0 1 0

0 0 1 0 0 0 0 0 1 1

0 0 0 1 0 0 0 1 0 0

0 0 0 0 1 0 0 1 0 1

0 0 0 0 0 1 0 1 1 0

0 0 0 0 0 0 1 1 1 1

LOGIC DIAGRAM FOR DECODER:

Page No: 47

Page 45: Digital electronics lab

DIGITAL ELECTRONICS LAB

TRUTH TABLE:

INPUT OUTPUT

E A B D0 D1 D2 D3

1 0 0 1 1 1 1

0 0 0 0 1 1 1

0 0 1 1 0 1 1

0 1 0 1 1 0 1

0 1 1 1 1 1 0

PROCEDURE:

(i) Connections are given as per circuit diagram.

(ii) Logical inputs are given as per circuit diagram.

(iii) Observe the output and verify the truth table.

Page No: 48

Page 46: Digital electronics lab

DIGITAL ELECTRONICS LAB

RESULT:

Thus the encoder and decoder circuits were designed and implemented using

logic gates, IC 7445 and IC 74147.

Ex. No: 9 CONSTRUCTION AND VERIFICATION OF 4 BIT RIPPLE

COUNTER AND MOD 10/MOD 12 RIPPLE COUNTERDate:

AIM: To design and verify 4 bit ripple counter mod 10/ mod 12 ripple counter.

APPARATUS REQUIRED:

Sl.No. COMPONENT SPECIFICATION QTY.1. JK FLIP FLOP IC 7476 22. NAND GATE IC 7400 13. IC TRAINER KIT - 14. PATCH CORDS - 30

THEORY:A counter is a register capable of counting number of clock pulse arriving at its

clock input. Counter represents the number of clock pulses arrived. A specified

sequence of states appears as counter output. This is the main difference between a

register and a counter. In synchronous common clock is given to all flip flop and in

asynchronous first flip flop is clocked by external pulse and then each successive flip

Page No: 49

Page 47: Digital electronics lab

DIGITAL ELECTRONICS LAB

flop is clocked by Q or Q output of previous stage. Because of inherent propagation

delay time all flip flops are not activated at same time which results in asynchronous

operation.

PIN DIAGRAM FOR IC 7476:

LOGIC DIAGRAM FOR 4 BIT RIPPLE COUNTER:

TRUTH TABLE:

CLK QA QB QC QD0 0 0 0 01 1 0 0 02 0 1 0 03 1 1 0 0

Page No: 50

Page 48: Digital electronics lab

DIGITAL ELECTRONICS LAB

4 0 0 1 05 1 0 1 06 0 1 1 07 1 1 1 08 0 0 0 19 1 0 0 110 0 1 0 111 1 1 0 112 0 0 1 113 1 0 1 114 0 1 1 115 1 1 1 1

LOGIC DIAGRAM FOR MOD - 10 RIPPLE COUNTER:

TRUTH TABLE:

CLK QA QB QC QD

0 0 0 0 0

1 1 0 0 0

Page No: 51

Page 49: Digital electronics lab

DIGITAL ELECTRONICS LAB

2 0 1 0 0

3 1 1 0 0

4 0 0 1 0

5 1 0 1 0

6 0 1 1 0

7 1 1 1 0

8 0 0 0 1

9 1 0 0 1

10 0 0 0 0

LOGIC DIAGRAM FOR MOD - 12 RIPPLE COUNTER:

TRUTH TABLE:

CLK QA QB QC QD

0 0 0 0 0

1 1 0 0 0

2 0 1 0 0

3 1 1 0 0

Page No: 52

Page 50: Digital electronics lab

DIGITAL ELECTRONICS LAB

4 0 0 1 0

5 1 0 1 0

6 0 1 1 0

7 1 1 1 0

8 0 0 0 1

9 1 0 0 1

10 0 1 0 1

11 1 1 0 1

12 0 0 0 0

PROCEDURE:

(i) Connections are given as per circuit diagram.

(ii) Logical inputs are given as per circuit diagram.

(iii) Observe the output and verify the truth table.

Page No: 53

Page 51: Digital electronics lab

DIGITAL ELECTRONICS LAB

RESULT: Thus the 4 bit ripple counter mod 10/ mod 12 ripple counter circuits were

designed and verified successfully.

Ex. No: 10 DESIGN AND IMPLEMENTATION OF 3 BIT

SYNCHRONOUS UP/DOWN COUNTERDate:

AIM: To design and implement 3 bit synchronous up/down counter.

APPARATUS REQUIRED:

Sl.No. COMPONENT SPECIFICATION QTY.1. JK FLIP FLOP IC 7476 22. 3 I/P AND GATE IC 7411 13. OR GATE IC 7432 14. XOR GATE IC 7486 15. NOT GATE IC 7404 16. IC TRAINER KIT - 17. PATCH CORDS - 35

THEORY:A counter is a register capable of counting number of clock pulse arriving at its

clock input. Counter represents the number of clock pulses arrived. An up/down

Page No: 54

Page 52: Digital electronics lab

DIGITAL ELECTRONICS LAB

counter is one that is capable of progressing in increasing order or decreasing order

through a certain sequence. An up/down counter is also called bidirectional counter.

Usually up/down operation of the counter is controlled by up/down signal. When this

signal is high counter goes through up sequence and when up/down signal is low

counter follows reverse sequence.

K MAP:

STATE DIAGRAM:

Page No: 55

Page 53: Digital electronics lab

DIGITAL ELECTRONICS LAB

CHARACTERISTICS TABLE:

Q Qt+1 J K

0 0 0 X

0 1 1 X

1 0 X 1

1 1 X 0

LOGIC DIAGRAM:

Page No: 56

Page 54: Digital electronics lab

DIGITAL ELECTRONICS LAB

TRUTH TABLE:

InputUp/Down

Present StateQA QB QC

Next StateQA+1 Q B+1 QC+1

AJA KA

BJB KB

CJC KC

0 0 0 0 1 1 1 1 X 1 X 1 X0 1 1 1 1 1 0 X 0 X 0 X 10 1 1 0 1 0 1 X 0 X 1 1 X0 1 0 1 1 0 0 X 0 0 X X 10 1 0 0 0 1 1 X 1 1 X 1 X0 0 1 1 0 1 0 0 X X 0 X 10 0 1 0 0 0 1 0 X X 1 1 X0 0 0 1 0 0 0 0 X 0 X X 11 0 0 0 0 0 1 0 X 0 X 1 X1 0 0 1 0 1 0 0 X 1 X X 11 0 1 0 0 1 1 0 X X 0 1 X1 0 1 1 1 0 0 1 X X 1 X 11 1 0 0 1 0 1 X 0 0 X 1 X1 1 0 1 1 1 0 X 0 1 X X 11 1 1 0 1 1 1 X 0 X 0 1 X1 1 1 1 0 0 0 X 1 X 1 X 1

Page No: 57

Page 55: Digital electronics lab

DIGITAL ELECTRONICS LAB

PROCEDURE:(i) Connections are given as per circuit diagram.

(ii) Logical inputs are given as per circuit diagram.

(iii) Observe the output and verify the truth table.

RESULT: Thus the 3 bit synchronous up/down counter was designed and implemented

using the IC7476.

Page No: 58

Page 56: Digital electronics lab

DIGITAL ELECTRONICS LAB

Ex. No: 11

DESIGN AND IMPLEMENTATION OF SHIFT REGISTER Date:

AIM: To design and implement (i) Serial in serial out (ii) Serial in parallel out (iii) Parallel in serial out (iv) Parallel in parallel out

APPARATUS REQUIRED:

Sl.No. COMPONENT SPECIFICATION QTY.1. D FLIP FLOP IC 7474 22. OR GATE IC 7432 13. IC TRAINER KIT - 14. PATCH CORDS - 35

THEORY:A register is capable of shifting its binary information in one or both directions

is known as shift register. The logical configuration of shift register consist of a D-

Flip flop cascaded with output of one flip flop connected to input of next flip flop. All

flip flops receive common clock pulses which causes the shift in the output of the flip

flop. The simplest possible shift register is one that uses only flip flop.

PIN DIAGRAM:

Page No: 59

Page 57: Digital electronics lab

DIGITAL ELECTRONICS LAB

LOGIC DIAGRAM:

SERIAL IN SERIAL OUT:

TRUTH TABLE:

CLKSerial in Serial out

1 1 02 0 03 0 04 1 15 X 06 X 07 X 1

LOGIC DIAGRAM:

SERIAL IN PARALLEL OUT:

Page No: 60

Page 58: Digital electronics lab

DIGITAL ELECTRONICS LAB

TRUTH TABLE:

CLK DATAOUTPUT

QA QB QC QD

1 1 1 0 0 02 0 0 1 0 03 0 0 0 1 14 1 1 0 0 1

LOGIC DIAGRAM:

PARALLEL IN SERIAL OUT:

TRUTH TABLE:

CLK Q3 Q2 Q1 Q0 O/P

0 1 0 0 1 1

1 0 0 0 0 0

2 0 0 0 0 0

3 0 0 0 0 1

Page No: 61

Page 59: Digital electronics lab

DIGITAL ELECTRONICS LAB

LOGIC DIAGRAM:

PARALLEL IN PARALLEL OUT:

TRUTH TABLE:

CLK

DATA INPUT OUTPUT

DA DB DC DD QA QB QC QD

1 1 0 0 1 1 0 0 1

2 1 0 1 0 1 0 1 0

PROCEDURE:

(i) Connections are given as per circuit diagram.

(ii) Logical inputs are given as per circuit diagram.

(iii) Observe the output and verify the truth table.

RESULT: Thus the shift registers were designed and implemented using IC7474 and

verified successfully.

Page No: 62

Page 60: Digital electronics lab

DIGITAL ELECTRONICS LAB

Ex. No: 12 SIMULATION OF ADDER AND SUBTRACTOR USING

VERILOG HDLDate:

AIM: To write the Verilog HDL program for adder and subtractor circuit and

simulate it using ISE simulator.

HARDWARE& SOFTWARE REQUIRED: XILINK 9.1i SimulatorPC WITH WINDOWS-XP

THEORY: HALF ADDER:

From the verbal explanation of a half adder, we find that this circuit needs two binary inputs and two binary outputs. The input variables designate the augends and addend bits; the output variables produce the sum and carry. We assign symbol ‘a’ and ‘b’ to the inputs and S (for sum) and C (for carry) to the outputs. The truth table for the half adder is listed in table. The C output is 1 only when both inputs are 1. The S output represents the least significant bit of the sum.

The simplified Boolean functions for the two outputs can be obtained directly from the truth table. The simplified sums of products expressions are S= a’b + ab’ C= ab

The logic diagram of the half adder implemented in sum of products is shown in figure. It can be also implemented with an exclusive-OR and an AND gate as shown in figure. This from is used to show that two half adders can be used to construct a full adder.

FULL ADDER:

A full adder is a combinational circuit that forms the arithmetic sum of three bits. It consists of three inputs and two outputs. Two of the input variables, denoted by ‘a’ and ‘b’, represent the two significant bits to be added. The third input ‘c’ represents the carry from the previous lower significant position. Two outputs are necessary because the arithmetic sum of three binary digits ranges in value from 0 to 3, and binary 2 or 3 needs two digits. The two outputs are designated by the symbols S for

Page No: 63

Page 61: Digital electronics lab

DIGITAL ELECTRONICS LAB

sum and D for carry. The binary variable S gives the value of the least significant bit of the sum. The binary variable D gives the output carry. The truth table of the full adder is listed in table. The eight rows under the input variables designate all possible combinations of the variables. The output variables are determined from the arithmetic sum of the input bits. When all input bits are 0, the output is 0. The S output is equal to 1 when only one input is equal to 1 or when all three inputs are equal to 1. The D output has a carry of 1 if two or three inputs are equal to 1.

The input and output bits of the combinational circuit different interpretations at various stages of the problem. Physically, the binary signals of the inputs are considered binary digits to be added arithmetically to form a two-digit sum at the output. On the other hand, the same binary values are considered as variables of Boolean functions when expressed in the truth table or when the circuit is implemented with logic gates. The maps for the output of the full adder are shown in below.

HALF ADDER:

LOGIC DIAGRAM:

TRUTH TABLE:

A B CARRY SUM

0011

0101

0001

0110

Page No: 64

Page 62: Digital electronics lab

DIGITAL ELECTRONICS LAB

PROGRAM FOR HALF ADDER:

module halfadder (sum,carry,a,b);

input a,b;

output sum,carry;

xor(sum,a,b);

and(carry,a,b);

endmodule

OUTPUT:

Page No: 65

Page 63: Digital electronics lab

DIGITAL ELECTRONICS LAB

FULL ADDER:LOGIC DIAGRAM:

TRUTH TABLE:

A B C CARRY SUM00001111

00110011

01010101

00010111

01101001

PROGRAM FOR FULL ADDER:

module fulladder(s,cout, a,b,cin);

input a,b,cin;

output s,cout;

wire p,q,r;

xor(s,a,b,cin);

and(p,a,b);

and(q, b,cin);

and(r,a,cin);

or(cout,p,q,r);

Page No: 66

Page 64: Digital electronics lab

DIGITAL ELECTRONICS LAB

endmodule

OUTPUT:

HALF SUBTRACTOR:LOGIC DIAGRAM:

TRUTH TABLE:A B BORROW DIFFERENCE

001

010

010

011

Page No: 67

Page 65: Digital electronics lab

DIGITAL ELECTRONICS LAB

1 1 0 0

PROGRAM FOR HALF SUBTRACTOR:

module halfsubtractor(diff,borrow, a,b); input a,b;

output diff,borrow;

xor(diff,a,b);

not(a1,a)

and(borrow,a1,b);

endmodule

OUTPUT:

FULL SUBTRACTOR:LOGIC DIAGRAM:

Page No: 68

Page 66: Digital electronics lab

DIGITAL ELECTRONICS LAB

TRUTH TABLE:

A B C BORROW DIFFERENCE00001111

00110011

01010101

01110001

01101001

PROGRAM FOR FULL SUBTRACTOR:

module fullsubtractor(diff,bout, a,b,cin);

input a,b,cin;

output diff,bout;

wire p,q,r;

xor(s,a,b,cin);

not (a1,a)

and(p,a1,b);

and(q,b,cin);

and(r,a,cin);

or(cout,p,q,r);

endmodule

OUTPUT:

Page No: 69

Page 67: Digital electronics lab

DIGITAL ELECTRONICS LAB

PROCEDURE:

Open project navigator.

Go to the file and click the new project

Type the project name

The “property wizard” is open to check all properties such as product,

categories, family, device etc. then click next

Create new source wizard appears then click next

Project summary is displayed then click next

Go to the project and click “new source”

Then type the module name as well as select verilog module then click next

“Define module window” here we assign the input and output of half adder,

clicks next and click finish

Type the program and save it

Make sure that the source is in “BEHAVIOURAL SIMULATION”

Then click the ISE simulator and view the signal window

Force the input data corresponding circuit

Simulate the program using ISE simulator

RESULT: Thus the Verilog HDL program for adder and subtractor are written and

simulated using ISE simulator.

Page No: 70

Page 68: Digital electronics lab

DIGITAL ELECTRONICS LAB

Ex. No: 13 SIMULATION OF MULTIPLEXER AND DE-MULTIPLEXER

USING VERILOG HDLDate:

AIM: To write the Verilog HDL program for multiplexer and de-multiplexer circuit

and simulate it using ISE simulator.

HARDWARE& SOFTWARE REQUIRED: XILINK 9.1i SimulatorPC WITH WINDOWS-XP

THEORY:

MULTIPLEXER:A digital multiplexer is a combinational circuit that selects binary information

from one of many input lines and directs it to a single output line. The selection of a

particular input line is controlled by a set of selection lines. Normally, there are 2^n

inputs lines and n selection lines whose bit combinations determine which input is

selected.

In a 4 to 1 line multiplexer, the four input lines, I0 to I3 is applied to one input

of an AND gate. Selection lines S1 and S0 are decoded to select a particular AND

gate. A multiplexer is also called a data selector, since it selects one of many inputs

and steers the binary information to the output line.

DEMULTIPLEXER:

The demultiplexer does the reverse operation of a multiplexer. It can be used

to separate the multiplexed signal into individual signals, The select input code

determines to which output the data input will be transmitted. The number of output

lines is n and the number of select lines is m, where n=2^m. The input data is

Page No: 71

Page 69: Digital electronics lab

DIGITAL ELECTRONICS LAB

transmitted to one of the output di by means of select signals a,b. The 4-bit adder

adds the input a & b and produces the 4-bit sum as the output.

LOGIC DIAGRAM FOR MULTIPLEXER:

TRUTH TABLE:

S1 S0 Y = OUTPUT

0 0 D0

0 1 D1

1 0 D2

1 1 D3

Page No: 72

Page 70: Digital electronics lab

DIGITAL ELECTRONICS LAB

PROGRAM FOR MULTIPLEXER:

module mux(f,d0,d1,d2,d3,s0,s1);

input d0,d1,d2,d3,s0,s1;

output f;

wire ns1,ns0,p,q,r,s;

not (ns1,s1);

not(ns0,s0);

and(p,ns0,ns1,i0);

and(q,s0,ns1,i1);

and(r,ns0, s1,i2);

and(s,s0, s1,i3);

or(f,p,q,r,s);

endmodule

OUTPUT:

Page No: 73

Page 71: Digital electronics lab

DIGITAL ELECTRONICS LAB

LOGIC DIAGRAM FOR DEMULTIPLEXER:

TRUTH TABLE:

INPUT OUTPUT

S1 S0 I/P D0 D1 D2 D3

0 0 0 0 0 0 0

0 0 1 1 0 0 0

0 1 0 0 0 0 0

0 1 1 0 1 0 0

1 0 0 0 0 0 0

1 0 1 0 0 1 0

Page No: 74

Page 72: Digital electronics lab

DIGITAL ELECTRONICS LAB

1 1 0 0 0 0 0

1 1 1 0 0 0 1

PROGRAM FOR DE-MULTIPLEXER:

module demux(do,d1,d2,d3, a,b,s);

input a,b,s;

output d0,d1,d2,d3;

wire a1,b1;

not (a1,a);

not(b1,b);

and(d0,b1,a1,s);

and(d1,b,a1,s);

and(d2,b1,a,s);

and(d3,b,a,s);

endmodule

OUTPUT:

Page No: 75

Page 73: Digital electronics lab

DIGITAL ELECTRONICS LAB

PROCEDURE:

Open project navigator.

Go to the file and click the new project

Type the project name

The “property wizard” is open to check all properties such as product,

categories, family, device etc. then click next

Create new source wizard appears then click next

Project summary is displayed then click next

Go to the project and click “new source”

Then type the module name as well as select verilog module then click next

“Define module window” here we assign the input and output of half adder,

clicks next and click finish

Type the program and save it

Make sure that the source is in “BEHAVIOURAL SIMULATION”

Then click the ISE simulator and view the signal window

Force the input data corresponding circuit

Simulate the program using ISE simulator

RESULT:

Page No: 76

Page 74: Digital electronics lab

DIGITAL ELECTRONICS LAB

Thus the Verilog HDL program for multiplexer and de-multiplexer are written and simulated using ISE simulator.

Page No: 77