NEM20
19w w w. m n e 2 0 1 9 . o r g
23-26 SeptemberRODOS PALACE HOTEL Rhodes
Greece
2019
Micro & Nano Engineering
Advanced Patterning •Nanofabrication for functionality •
Nanodevices-MEMS •Lab-on-a-chip •
Going Nano in Homer’s land
45th International Conference on
Final Program
Hom
er g
oes
nano
: e-b
eam
lith
ogra
phy
of t
he O
dys
sey
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, Greece
Tab
le o
f C
onte
nts
Welcome Address 4
Committees 5
General Information 9
Instructions to Presenters and Chairpersons 14
Plenary Speakers 15
Invited Speakers 18
Rodos Palace Floor Plan 19
Program at a Glance 20
Scientific Program (Oral presentations) 23
Monday 23 - 09 - 2019 24
Tuesday 24 - 09 - 2019 25
Wednesday 25 - 09 - 2019 35
Thursday 26 - 09 - 2019 45
Scientific Program (Poster Presentations) 55
Exhibition and Poster Area Plan 86
Exhibitors’ List 86
Exhibitors - Sponsors 87
Authors’ Index 90
33
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceW
elc
om
e A
dd
ress
We welcome you to the Micro and Nano Engineering (MNE) 2019 and in Rhodes, Greece! It will be the 45th Conference in a series that started in Cambridge in 1975, and was held most recently in Vienna (2016), Braga (2017) and Copenhagen (2018). MNE is the core international conference focusing on: a) micro/nanofabrication and manufacturing techniques, and b) application of the fabricated micro/nanostructures, devices and microsystems into electronics, photonics, energy, environment, chemistry and the life sciences.
This year we have chosen a 3-day conference format that includes 4 parallel sessions A, B, C, D, corresponding to the 4 thematic areas of MNE2019: One parallel session (Α) is dedicated to Nanopatterning, a second (B) is dedicated to Nanofabrication and Functional Nanostructured Surfaces, a third (C) is focusing on Nanoelectronic and other devices, Sensors, and Microsystems, and a forth (D) is focusing on Lab on a Chip with applications in Life Sciences, Chemistry, Food and Environmental safety.
The program features 10 outstanding Plenary talks including two presentations from the Award Winning MNE2019 fellow and Young Investigator, 13 presentations from world-leading Invited speakers, oral and poster presentations (evaluated by an exceptional International Program Committee), an ancient Greek Music artist and scholar, and a Nanotechnology artist. More specifically, the conference program comprises 174 oral and 367 poster presentations (including the plenary and invited presentations). The distribution among the MNE thematic areas reflects the main interests of our community and the long-term evolution of the field. The thematic area Advanced Nanopatterning represents 21% of all submissions, Nanofabrication for functionality 23%, Nanodevices-MEMS and applications 34%, and Nanofabrication for life science applications 22%.
MNE poster papers have equal weight to oral presentations. The MNE Committees encourage authors to submit papers (regular, accelerated publications, reviews or news and opinions) to 4 open thematically focused issues of Microelectronic Engineering (MEE by Elsevier) related to the conference topics.
The conference includes several awards, including the MNE fellow and the Young Investigator Award (Thursday morning), the micrograph contest, and the best poster awards per topic to be presented during the Gala dinner.
In addition, MNE 2019 offers exciting Tutorials on the fundamentals of Wetting, Nanogenerators, and Innovation Management, designed for scientists, professionals, company engineers, entrepreneurs and graduate students.
Finally, MNE 2019 hosts 34 exhibitors and is grateful to numerous sponsors which we sincerely acknowledge as the conference is made possible through their strong support.
Returning to Greece after 11 years, the conference takes place in a majestic island, Rhodes, one of the most popular destinations in Greece, with long multicultural history and rich natural environment. We have implemented a rich social program including welcome reception, visit and Homer singing performance in the Knights Palace on Monday, Beach Party on Tuesday, Gala dinner on Wednesday featuring art and nanotechnology, and Excursion to Lindos on Thursday.
We warmly thank the numerous authors, delegates, exhibitors and sponsors, program and session chairs, members of the international program committee, guest editors for the Microelectronic Engineering special issues, our students and staff participating, for promising to make this conference a memorable event.
We hope that you will enjoy the conference and have some time to spend with friends and enjoy yourself. We want to thank all of you for coming, and express our thanks and appreciation for the many exhibitors and sponsors that have helped make all this happen.
Καλώς ήλθατε!
On behalf of the MNE2019 Organizing Committee
Evangelos Gogolides
Conference Chair
Angeliki Tserepi
Program Chair4
NEM20
19International Conference on
MNE 2019 LOCAL ORGANIZING COMMITTEE
Evangelos GogolidesConference Chair
Angeliki TserepiProgram Chair
CONFERENCE CO-CHAIRS PER TOPIC
Lithography / Etching
Panagiotis Argitis Vassilios Constantoudis Nikos Kehagias George Kokkoris
Nanofabrication
Eleni Makarona Nikos Papanikolaou Vasilis Vamvakas Kosmas Ellinas
Nanodevices / MEMS
Panagiotis Dimitrakis Nikos Glezos Pascal Normand Christos Tsamis Dimitris Tsoukalas
Life Sciences Devices
Panagiota Petrou Kostas Misiakos Katerina Tsougeni
Exhibition Chairs
Ioannis Raptis Kosmas Ellinas
Educational Εvents
Konstantinos Giannakopoulos
Workshops
Nikos Vourdas
MNE INTERNATIONAL STEERING COMMITTEE
Jean-Francois de MarneffeIMEC BELGIUM
Michel DespontCSEM SWITZERLAND
Zahid DurraniImperial College UK
Massimo De VittorioUniversity of Salento / IIT ITALY
Evangelos GogolidesNCSR Demokritos GREECE
Gabi GrütznerMicroresist Technology GmbH GERMANY
Yoshihiko HiraiOsaka Prefecture University JAPAN
Alexander LiddleNIST USA
Michael MuehlbergerProfactor GmbH AUSTRIA
Francesc Pérez-MuranoCNM-IMB Barcelona SPAIN
Urs Staufer (Chair)Delft University of Technology NETHERLANDS
Stephan Sylvest KellerTechnical University of Denmark DENMARK
Christophe VieuLAAS-CNRS Toulouse FRANCE
MNE 2019 INTERNATIONAL ADVISORY COMMITTEE
Alexandropoulos Dimitris (UPatras)
Kiziroglou Michael (ATEIT)
Beltsios Konstantinos (UOI)
Konstantinidis George (UOC)
Boudouvis Andreas (NTUA)
Lee JaeJong (KIMM)
Bousnaina Ahmed (NEU)
Lidorikis Eleftherios (UOI)
Chaniotakis Nikolaos (UOC)
Logothetidis Stergios (AUTH)
Chatzichristidi Margarita (UOA)
Mataras Dimitrios (UPatras)
Chen Yifang (Fudan Un)
Nassiopoulou Androula (NCSRD)
Choi Jin-Woo (LSU)
Olziersky Antonis (IBM)
Christopoulos Theodore (UPatras)
Com
mitte
es
5
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, Greece
Panagiotou Kostas (AUTH)
Chronis Nikos (UMICH)
Papathanasiou Athanasios (NTUA)
Economou Anastasios (UOA)
Patsalas Panos (AUTH)
Erdmann Andreas (FhG)
Patsis George (WATU)
Farmakis Fillippos (DUTH)
Prodromakis Themis (SOTON)
Farsari Maria (FORTH)
Sarantopoulou Evangelia (NHRF)
Gizeli Electra (FORTH)
Sarris Theodore (DUTH)
Kakabakos Sotirios (NCSRD)
Skarlatos Dimitris (UPatras)
Kaltsas Grigoris (WATU)
Speliotis Athanasios (NCSRD)
Kandyla Maria (NHRF)
Stratakis Emmanuel (UOC)
Kazazis Dimitrios (PSI)
Tagmatarchis Nikos (NHRF)
Kilikoglou Vassilis (NCSRD)
Zergioti Ioanna (NTUA)
Kitsara Maria (UPMC)
Zuburtikudis Ioannis (TEIK & ADU)
MNE 2019 INTERNATIONAL PROGRAM COMMITTEE
Dimitrios Alexandropoulos, University of Patras - GreeceMaan Alkaisi, Institute of Nanoscience and Nanotechnology, University of Canterbury, New ZealandPanagiotis Argitis, NCSR Demokritos - GreeceNaoufal Bahlawane, Luxembourg Institute of Science and Technology (LIST) - LuxembourgJoan Bausells, IMB-CNM (CSIC) - SpainKonstantinos Beltsios, University of Ioannina,- GreeceGérard Benassayag, CEMES/CNRS - FranceAndre Bernard, NTB Buchs- SwitzerlandAndreas Boudouvis, National Technical University of Athens - GreeceMartin Brandl, Danube University Krems - Austria
Hubert Brueckl, Danube University Krems - AustriaAndrea Cattoni, Centre de Nanosciences et de Nanotechnologies (C2N), CNRS - FranceWen-Huei Chang, Department of Applied Chemistry, National Pingtung University - TaiwanMargarita Chatzichristidi, National and Kapodistrian University of Athens - GreeceHsuen-Li Chen, Department of Materials Science and Engineering National Taiwan University Taipei - TaiwanYong Chen, Ecole Normale Supérieure/CNRS - FranceLei Chen, National Institute of Standards and Technology (NIST) - USARebecca Cheung, University of Edinburgh - United KingdomTheodoros Christopoulos, University of Patras - GreeceJin-Woo Choi, Louisiana State University - USANikos Chronis, UMIC - USAVassilios Constantoudis, Institute of Nanoscience and Nanotechnology, NCSR Demokritos - GreeceMassimo De Vittorio, IIT - ItalyEmiliano Descrovi, Politecnico di Torino - ItalyPanagiotis Dimitrakis, Institute of Nanoscience and Nanotechnology , NCSR ‘Demokritos’ - GreeceZoran Djuric, Serbian Academy of Sciences and Arts - Institute of Technical Sciences - SerbiaDemetre Economou, University of Houston - USAAnastasios Economou, UOA- GreeceKosmas Ellinas, NCSR Demokritos -GreeceAndreas Erdmann, Fraunhofer Institute for Integrated Systems and Device Technology IISB - GermanyPedro Estrela, University of Bath - United KingdomFilippos Farmakis, Electrical and Computer Engineering Dept., Democritus University of Thrace - GreeceMaria Farsari, FORTH - GreeceMonika Fleischer, University of Tuebingen - Germany
Com
mitte
es
6
NEM20
19International Conference on
Susana Freitas, INESC-MN and Instituto Superior Técnico - PortugalHiroshi Fukuda, Hitachi High-Technologies Corporation - JapanStefan Gendt, Imec / KULeuven - BelgiumAnnamari Gerardino, CNR-Institute for Photonics and Nanotechnologies - ItalyGerald Gerlach, Technische Universität Dresden - GermanyKonstantinos Giannakopoulos, Institute of Nanoscience and Nanotechnology, NCSR Demokritos - GreeceEvangelos Gogolides, Institute of Nanoscience and Nanotechnologyh, NCSR Demokritos - GreeceMarkus Graf, Sensirion AG - SwitzerlandCornelis Wouter Hagen, Delft University of Technology - NetherlandsLine Hagner, Technical University of Denmark - DenmarkAnpan Han, DTU Danchip / CEN Technical Unversity of Denmark, DTU - DenmarkHarry Heinzelmann, CSEM - SwitzerlandYoshihiko Hirai, Osaka Prefecture University - JapanJörg Hübner, DTU Danchip / CEN Technical Unversity of Denmark, DTU - DenmarkToshiro Itani, EIDEC, Inc. - JapanGrigoris Kaltsas, Technological Educational Institute (T.E.I) of Athens - GreeceMaria Kandyla, NHRF - GreeceJeroen Kan, Physics department National University Singapore - SingaporeSongphol Kanjanachuchai, Chulalongkorn University - ThailandNikolaos Kehagias, Catalan Institute of Nanoscience and Nanotechnology - SpainStephan Keller, DTU Nanotech - DenmarkBeomjoon Kim, The University of Tokyo - JapanRobert Kirchner, Technische Universität Dresden - GermanyMaria Kitsara, University Pierre and Marie CURIE -FranceMichael Kiziroglou, ATEIT - GreeceArmin Knoll, IBM Research - Zurich - Switzerland
Fu-Hsiang Ko, Institute Of Nanotechnology, National Chiao Tung University - TaiwanManfred Kohl, Institute of Nanoscience and Nanotechnology, Karlsruhe Institute of Technology - GermanyGeorge Kokkoris, NCSR Demokritos - GreeceMichael Kraft, University of Leuven - BelgiumJaejong Lee, Korea Institute of Machinery and Materials - KoreaThierry Leichle, LAAS-CNRS - FranceJames Liddle, Center for Nanoscale Science and Technology, NIST - USAEleftherios Lidorikis, University of Ioannina - GreeceChun-Hung Lin, National Cheng Kung University - TaiwanAndreu Llobera, Carl Zeiss Vision - SpainHans Loeschner, IMS Nanofabrication AG - AustriaRegina Luttge, Eindhoven University of Technology - NetherlandsEleni Makarona, Institute of Nanoscience nad Nanotechnology, NCSR Demokritos - GreeceJohn Maltabes, Rochester Institute of Technology - USAJean-Francois, imec vzw - BelgiumAdrian Martinez-Rivas, CIC-Instituto Politécnico Nacional (IPN)-Mexico - MexicoKonstantinos Megaridis, University of Illinois at Chicago - USASantos Merino, IK4-TEKNIKER - SpainAthanasios Millionis, ETH Zurich - SwitzerlandFaisal Mohd-Yasin, Queensland Micro- and Nanotechnology Center, Griffith University - AustraliaDespina Moschou, University of Bath - United KingdomXavier Munoz-Berbel, IMB-CNM-CSIC - SpainAndroula Nassiopoulou, NCSR Demokritos -GreecePascal Normand, NCSR Demokritos - GreeceJe Oh, Hanyang University - KoreaAntonis Olziersky, IBM - Switzerland
Com
mitte
es
7
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, Greece
Heidi Ottevaere, Vrije Universiteit Brussel - BelgiumLeonidas Palilis, University of Patras - GreeceNikos Papanikolaou, NCSR Demokritos - GreeceAthanasios Papathanasiou, NTUA - GreeceAntonio Pasquale, SNS - ItalyTung-Ming Pan, Chang Gung University - TaiwanInkyu Park, KAIST - KoreaFrancesc Perez-Murano, IMB-CNM (CSIC) - SpainPanagiota Petrou, NCSR Demokritos - GreeceDavid Peyrade, LTM - CNRS UGA c/o CEA - LETI - MINATEC - FranceFerruccio Pisanello, Istituto Italiano di Tecnologia - ItalyPhilip Prewett, Oxford Scientific Consultants Ltd - NetherlandsChristelle Prinz, Lund University - SwedenIris Prinz, Stratec Consumables GmbH - AustriaJose-Manuel Quero, University of Seville -SpainMuhammad Rafiq, Pakistan Institute of Engineering and Applied Sciences Islamabad Pakistan - PakistanJohn Randall, Zyvex Labs - USAIoannis Raptis, NCSR Demokritos - GreeceMoh’d Rezeq, Khalifa University of Science and Technology - Abu DhabiGemma Rius, IMB-CNM (CSIC) - SpainAlex Robinson, University of Birmingham - United KingdomKurt Ronse, Imec - BelgiumFrancois Rossi, European Commission - ItalyEvangelia Sarantopoulou, NHRF -GreeceSteven Schofield, University College London - United KingdomJian Shi, Ecole Normale Supérieure de Paris - FranceAlejandro Silhanek, Université de Liège - BelgiumUrs Staufer, Delft University of Technology - Netherlands
Andris Sternberg, Institute of Solid State Physics, University of Latvia - LatviaRafael Taboryski, DTU Nanotech - DenmarkNikos Tagmatarchis, NHRF -GreeceChristos Tsamis, NCSR Demokritos- Insti. of Nanoscience and Nanotechnology - GreeceAngeliki Tserepi, NCSR Demokritos - GreeceKaterina Tsougeni, NCSR Demokritos - GreeceDimitris Tsoukalas, National Technical University of Athens - GreeceYoshi Tsuchiya, University of Southampton - United KingdomVasilis Vamvakas, NCSR Demokritos - GreeceChristophe Vieu, Université de Toulouse, LAAS-CNRS - FranceNikos Vourdas, NCSR Demokritos - GreeceKatia Vutova, Professor, Dr.Sc., PhD, Institute of electronics, Bulgarian Academy of Sciences - BulgariaRemco Wiegerink, MESA+ Institute for Nanotechnology, University of Twente - NetherlandsSandra Wolff, TU Kaiserslautern, Nano Structuring Center - GermanyIoanna Zergioti, NTUA - GreeceCui Zheng, ChinaCezar Zota, IBM Research - SwitzerlandIoannis Zoubourtikoudis, TEIK - Greece
Com
mitte
es
8
NEM20
19International Conference on
CONFERENCE INFORMATION
MNE 2019 is organized around 4 main topics. Topic A (Advanced Nanopatterning (lithgraphy & etching), Topic B (Nanofabrication / Manufacturing for Functional Structures / Surfaces), Topic C (Micro-Nano Devices and Systems (MEMS/NEMS) for physical applications, electronics, photonics and energy), and topic D (Micro & Nano Devices and Systems for Life Sciences, Chemistry, and Agrofood Sectors). MNE 2019 has attracted approximately 700 participants. The MNE Committees encourage authors to submit papers (regular, accelerated publications, reviews or news and opinions) to 4 open thematically focused issues of Microelectronic Engineering (MEE by Elsevier) related to the conference topics. MEE also sponsors the annual Young Investigator Award, which will be presented at the conference. MNE has two related conferences (EIPBN) in the USA, and (MNC) in Japan.
STRUCTURE
The MNE scientific program begins on Tuesday, September 24th, and ends in the afternoon of September 26th. Prior to the Scientific Program, on Monday, September 23rd, there will be three Tutorials for those registered, followed by the Welcome Reception and Opening of the Conference and Exhibition, as well as a visit to the Old Town of Rhodes. The Program features 4 parallel sessions, plenary talks, invited presentations, oral and poster presentations (evaluated by the International Program Committee), and a commercial exhibition. MNE poster papers have equal weight to oral presentations. Two Poster sessions (I, II) will be held respectively on the 24th and the 25th of September.
CONFERENCE VENUE
RODOS PALACE HOTEL Iraklidon Avenue (Trianton), Ixia, 85100 Rhodes, Greece, T: +30 22410 97222www.rodos-palace.gr
REGISTRATION & HOSPITALITY DESK - OPENING HOURS
The Registration and the Hospitality Desk will be open the following hours:
Monday, 23rd September 2019 12:00 - 17:00
Tuesday, 24th September 2019 08:00 - 19:00
Wednesday, 25th September 2019 08:00 - 18:00
Thursday, 26th September 2019 08:00 - 18.00
Confe
rence
Info
rmat
ion
9
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, Greece
ON SITE REGISTRATION FEES
Standard Attendees € 850
Student Attendees € 500
1 day registration * € 450
Accompanying persons € 285
Cost of 1 Ttutorial € 100
Cost of 2 Tutorials € 150
Registration Fees Include
Admission to all technical sessions, poster exhibition and industry exhibition
Conference Material
Lunch breaks during the conference
Coffee breaks during the conference
Welcome reception
Conference dinner
* 1 Day pass does not include Conference Dinner
Accompanying person’s registration fee includes all social events (Welcome reception, Conference dinner and Excursion to Lindos)
EXHIBITION
The MNE2019 Commercial Exhibition will commence on Monday, September 23rd, and will be open throughout all Conference days. The Commercial exhibition runs throughout the foyer of Jupiter Hall, Salon De Roses Hall and also the Atrium Lobby. The list of companies can be found in page 87. Detailed information about the companies can be found on the mne2019 conference website by clicking on the logo of each company.The list of companies can be found in page https://www.mne2019.org/confirmed-exhibitors Detailed information about the companies can be found on the mne2019 conference website by clicking on the logo of each company.
MICROGRAPH CONTEST
A micrograph contest is organized by the MNE Organizing Committee. Winners will be awarded During the gala dinner. Participants are kindly asked to submit their micrograph until Wednesday at the following web page https://www.zyvexlabs.com/contests/2019-3/. You can vote for the best micrograph using the mobile application. The awards are sponsored by the Micro&Nano Society of Greece (http://micro-nano.gr/)
POSTER PRESENTATIONS
There are two poster sessions on Tuesday 24th and Wednesday 25th.Each poster will be displayed during the whole conference from Tuesday 24th until morning of Thursday 26th.The posters are grouped according to the thematic areas A, B, C, and D in the program.Each poster board will have a poster identification number. Please leave them on display and do not block them in order to provide a means for orientation for visitors of the poster session.Posters will be placed on the panels with double sided tape to be supplied by the Congress Secretariat. It is the Presenter’s obligation to put up and also remove the poster from the panel. Posters left after the end of the congress will be destroyed. The Congress Secretariat bares no responsibility for posters left behind.
Confe
rence
Info
rmat
ion
10
NEM20
19International Conference on
POSTER SESSION I Even Numbers
Please be at your Poster area, ready for a presentation on Tuesday, September 24th, 14:15 - 16:15
POSTER SESSION II Odd numbers
Please be at your Poster area, ready for a presentation on Wednesday, September 25th, 14:15 - 16:15
BEST POSTER AWARDS
The MNE conference gives much weight and importance to the poster session. Contributions selected for poster presentation do not have less scientific quality than contributions selected for oral presentation, but their contents are expected to be more suitable for communication in poster form. To highlight the importance of the poster session, awards are given to the best posters.The posters will be evaluated per topic and four Best Poster Awards will be given out, one for each topic:
Advanced Nanopatterning,
Nanofabrication for functionality,
Nanodevices - MEMS and their applications, and
Lab-on-a-chip /Nanofabrication for life science applications.
The evaluation will be based on the assessment of the abstract reviewers and by the poster examination from the jury during the conference.Winner announcement: The Best Poster Award Winners will be announced during the conference dinner on September 25th. Poster Awards are sponsored by Raith.
REHEARSAL AND PRESENTATION LOADING ROOM / TECHNICAL SECRETARIAT / SPEAKER’S READY ROOM
All speakers are advised to rehearse their presentations prior to presenting them so as to avoid technical difficulties. A Speaker’s Ready room will be available for you opposite to the Congress Secretariat, equipped with all necessary audiovisual aids. You are advised to present your material to the Technical Secretariat at least 2 hours prior to your presentation.
PUBLICATION OF THE MNE2019 SPECIAL ISSUES
The MNE2019 Organizing Committee invites MNE2019 participants to submit papers to four (4) OPEN to all and FOCUSED THEMATICALLY special issues of Micro and Nano Engineering Journal (Gold open access mirror journal of Microelectronic Engineering, Elsevier) entitled:
Nanopatterning 2019
Nanofabrication 2019
Devices-MEMS 2019
Lab-on-Chip 2019
Please refer to the specific special issue to see the thematic areas and select the issue appropriate for you. Each special issue call is an ‘open call’. This means that, while including selected papers presented at MNE2019, it is also open to other authors of the related scientific community.
Confe
rence
Info
rmat
ion
11
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, Greece
Manuscript submission deadline: October 21st 2019
Your paper and associated supplementary information should comprise a complete, novel and full description of your work. Manuscripts of the special issue will be submitted and reviewed via the online Elsevier Editorial System (EES). At submission, you are presented with a choice between the MEE and MNE journals. You will then receive a confirmation letter on the choice you have made. You will also have a chance to change your choice at revision. To be part of MNE2019 special issues you need to choose MNE journal. Articles will then be processed and published with the standard Elsevier publishing timeline for each individual manuscript following acceptance. Accepted papers will be freely available on ScienceDirect as OPEN ACCESS papers WITHOUT any article processing charges. This is a SPECIAL agreement between MNE2019 conference and MNE journal.
If you choose MEE instead of MNE journal at submission, your manuscript will be treated as a regular paper and will no longer be part of MNE special Issues, although it will still be handled by the same guest editors with the same procedure. Accepted papers in MEE will be published under subscription model only.
SOCIAL EVENTS PROGRAM
WELCOME RECEPTION The Conference Welcome Reception will take place on Monday, September 23rd, at 16:30 throughout all Exhibition Halls.
WELCOME VISIT TO THE OLD TOWN Following the Welcome Reception, a Welcome visit to the Old Town of Rhodes and to the Knight’s Palace, is a must. Within this medieval town you will be impressed by the Cultural welcome event: The sound of Homer’s singing.
BEACH PARTY ON TUESDAY, SEPTEMBER 24th The Organizing Committee will host a Beach Party on the Beach area in front of Rodos Palace. Please contact the Congress Secretariat for further information.
GALA DINNER ON WEDNESDAY, SEPTEMBER 25th The Conference Official Gala Dinner will be hosted at the SUPER DOME POOL BAR in Rodos Palace Hotel on Wednesday, September 25th, at 19:30. Dress: informal
EXCURSION TO LINDOS ON THURSDAY, SEPTEMBER 26th The buses will depart right after the end of the Scientific Program just outside the Reception Area of Rodos Palace Hotel. Lindos is an archaeological site, a fishing village and a former municipality on the island of Rhodes. It lies on the east coast of the island. It is about 50 km south of the town of Rhodes and its fine beaches make it a popular tourist and holiday destination. Lindos is situated in a large bay and faces the fishing village and small resort of Charaki. Be fast to be able to see the sunset at Lindos. Please declare your participation in the event at the registration desk in order to arrange for the number of buses.
OFFICIAL LANGUAGE
English will be the official language of the Conference and no simultaneous translation will be provided.
CERTIFICATE OF ATTENDANCE
Certificates will be provided after the completion of the Scientific Program.
Confe
rence
Info
rmat
ion
12
NEM20
19International Conference on
BADGE
Please wear your name badge at all times. Entrance to the Conference area will only be permitted to guests wearing their name badge.
CURRENCY
Greece’s monetary unit is the Euro. No other currency is accepted and it is best to exchange dollars or other currency at a bank. The exchange rates are all the same throughout the country and you exchange money at a bank or official exchange shop where you will get the best running rates. Banks are open from 08:00 AM until 2:00 PM.
INSURANCE
We cannot accept responsibility for any personal loss, accidents or damages to participants and/or accompanying persons. Participants are strongly advised to obtain personal insurance to cover any eventuality that may occur during the Congress.
TRANSPORTATION
Rhodes is only a 40min flight from Athens International Airport. Rhodes International Airport, “Diagoras”, is located, 15 km away (20min by taxi from the town center and the convention center. Public transportation is very well organized with frequent bus service. There are regular international flights as well as charter flights from most major cities worldwide.
WEATHER
Rhodes in September is still very pleasant and warm, although the temperatures are starting to cool down a bit. The average daily temperature is 25°C. September enjoys an average of 11 hours of sunshine per day.
PASSPORTS - VISAS
You will need valid, up-to-date passport and a Schengen visa, depending on your nationality. Regarding Schengen visa requirements, please visit the website of the Hellenic Ministry of Foreign Affairs at the following link: https:// www.mfa.gr/en/visas/visas-for-foreignerstraveling-togreece/countries-requiring-or-notrequiring-visa.html in order to find out whether you need a Schengen visa to visit Greece.
Confe
rence
Info
rmat
ion
CONFERENCE SECRETARIAT
Valestra 2 Str., kallithea, Athens Greece
T: +30 210 3250260, F: +30 2310 247746
E: [email protected], W: www.globalevents.gr
13
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, Greece
OPENING HOURS SPEAKERS’ PREVIEW CENTER
Monday, 23rd September 2019 12:00 - 18:30
Tuesday, 24th September 2019 08:00 – 19:00
Wednesday, 25th September 2019 08:00 – 19:00
Thursday, 26th September 2019 08:00 - 17:00
IF YOU ARE A CHAIRPERSON
Please locate your session room in due time. Please be at your session room at least 15 minutes prior to the start of the session. We may remind you that speakers need to strictly observe the time schedule. Before the session starts, please check that all presenters are present. Before each presentation, please introduce the presenter by stating his/her name and affiliation.
INSTRUCTIONS FOR ORAL PRESENTATIONS
Plenary speakers have 35 minutes plus 5-10 minutes of questions
Iinvited speakers have 25 minutes plus 5 minutes of questions
Contributed talks have 15 minutes. This includes approximately 2-3 min for questions. Therefore, time your presentation to 12-13 minutes.
Please prepare your presentation with aspect ratio 16:9.
Computers ARE AVAILABLE at the conference rooms, with the following technical characteristics:Hardware: Processor: i3 or i5 4gen or 8gen, RAM 4-8 GB, Hard Disk: SSD 240GB TFT 15 -̈17¨PC Remote ControlMAC: Retina, early 2015, i5 2,7GHz, RAM 8GBF or those having a Macintosh, a technician will help you to connect and use your laptop.Software: Windows 10, Office 365
Bring your presentation in a memory stick. You do NOT need to bring a laptop. If you do, you should go in the conference room and with the help of the technician see how to connect your laptop. Try it the previous day if possible. Rehearsal and presentation loading room: You are advised to try your presentation and load it with the help of the technicians in the computer room located at the Convention Pre Function Area of the Rodos Palace Hotel. Presentations should be loaded until the previous session, or as early as possible. Please allow enough time before your session, especially if you have videos in your presentation.
INSTRUCTIONS FOR POSTER PRESENTATIONS
Recommended Poster Size is 120 cm high x 80 cm wide. (The poster board is 200 cm x 95 cm).Posters will be hanged using double sided tapes, to be supplied by the Congress Secretariat.Even Poster Numbers: Poster Session time: Tuesday September 24th at 14:15 - 16:15Odd Poster Numbers: Poster Session time: Wednesday September 25th at 14:15 - 16:15Set up time: Monday 23/09 from 16:00 to 18:30 or Tuesday September 25th, from 08:30 to 13:00 Take down time: Thursday 26/9 from 12:00 until 16:00Be in front of your poster session on the appropriate day based on your poster number shown in the conference program.*Posters left on the poster boards after the take down time, will be removed by the conference personnel.Inst
ruct
ions
to P
rese
nte
rs a
nd
Chai
rpers
ons
14
NEM20
19International Conference on
Ple
nar
y S
pea
kers
Tuesday, 24th September 2019Dimos PoulikakosHead of Energy Science Center (ESC), ETH Zurich, Institut für Energietechnik, Switzerland
How to arrest and transport biological nano-objects one at a time: Nanovalving of individual Viruses and Macromolecules in liquids
09:30- 10:15 Jupiter Hall
Tuesday, 24th September 2019Shoji TakeuchiTokyo University, Japan
Emerging Technologies for Biohybrid Devices
16:15- 17:00 Jupiter Hall
Tuesday, 24th September 2019Fotis FilippopoulosCurious Inc. and International Hellenic Univ., Greece
Innovation Mindset: The top 10 critical insights every technology entrepreneur should know
17:00- 17:45 Jupiter Hall
Tuesday, 24th September 2019
Yan BorodovskyFormer Intel Senior Fellow, USA
Moore’s Law - Past, Present and Future
08:45-09:30 Jupiter Hall
15
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreecePle
nar
y S
pea
kers
Wednesday, 25th September 2019
Emmanuel DelamarcheIBM Research Zurich, Switzerland
Intelligent and precise flow control for next-generation microfluidic POC diagnostics
08:45- 09:30 Jupiter Hall
Wednesday, 25th September 2019
Michal LipsonEugene Higgins Professor, Columbia University, USA
The Revolution of Silicon Photonics
09:30- 10:15 Jupiter Hall
Wednesday, 25th September 2019
Zhong Lin WangHightower Chair, Georgia Tech, USA
Nanogenerators for self-powered systems and sensors
16:15- 17:00 Jupiter Hall
16
NEM20
19International Conference on
Thursday, 26th September 2019
The MNE/MEE Elsevier Journal Young Investigator Award 2019Yuksel TemizΙΒΜ Research-Zurich
The Young Investigator Award is sponsored by Elsevier for the Journals MNE (open access) and MEE (subscription journal)
09:30- 10:00 Jupiter Hall
Ple
nar
y S
pea
kers
Thursday, 26th September 2019
George MalliarasPrince Philip Professor of Technology, University of Cambridge, UK
New Materials and Devices for Interfacing with the Brain
16:35- 17:20 Jupiter Hall
Thursday, 26th September 2019
MNE Fellow 2019Hella-Christin ScheerUniversity of Wuppertal, Germany
More than nanoimprint - replication and combination
08:45- 09:30 Jupiter Hall
17
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceIn
vite
d S
pea
kers
Tuesday, 24th September 2019 10:45- 11:15, Jupiter Hall
Thomas Anthopoulos, King Abdullah University of Science and Technology (KAUST) and KAUST Solar Centre, Saudi Arabia
Flexible nano-electronics via large-area manufacturing paradigms
Tuesday, 24th September 2019 11:15- 11:45, Athena Hall
Nicolas Voelcker, Monash University, Melbourne Center for Nanofabrication, Australia
Nanomedicine with Silicon Nanostructures
Tuesday, 24th September 2019 13:00- 13:30, Delphi Hall
Alidad Amirfazli, York University, Canada
What can and cannot be done with Superhydrophobic, or Omniphobic surfaces?
Tuesday, 24th September 2019 13:30- 14:00, Nefeli Hall
Michal Danek, Lam Research Corporation, USA
Applications of Atomic Layer Deposition (ALD) and Atomic Layer Etch (ALE) in Advanced Semiconductor Manufacturing
Tuesday, 24th September 2019 18:00- 18:30, Jupiter Hall
Zheng Cui, Suzhou Institute of Nanotechnology, Chinese Academy of Sciences, China
Printed flexible electronics for wearable applications Semiconductor Manufacturing
Wednesday, 25th September 2019 10:45- 11:15, Delphi Hall
Constantine Megaridis, University of Illinois, Chicago, United States
Wettability-Patterned Surfaces for Pumpless Handling of Fluid Microvolumes: Lab-on-Chip and Heat Transfer Applications
Monday, 23th September 2019
Chrēstos Terzēs, Ancient Music Artist
Reconstructing the sound of Homeric singing (Cultural welcome event to be presented at the Knight’s palace)
Wednesday, 25th September 2019 11:30- 12:00, Nefeli Hall
Maria Farsari, Foundation for Research & Technology Hellas, Greece
Laser-based 3D printing at the nanoscale
Wednesday, 25th September 2019 11:30- 12:00, Athena Hall
Thomas Laurell, Lund University, Sweden
Acoustofluidics - A sound approach to liquid biopsies
Wednesday, 25th September 2019 13:00- 13:30, Delphi Hall
Tekla Tammelin, VTT Technical Research Center, Finland
Patterned Structures and Nanolaminate Hybrid Architectures from Plant-sourced Nanocellulose for Optoelectronics
Wednesday, 25th September 2019 13:30- 14:00, Athena Hall
José Antonio Plaza, Instituto de Microelectrónica de Barcelona-CNM, Spain
From Cells-on-Chip to Chips-in-Cell: our fantastic “voyage”
Wednesday, 25th September 2019
Ioannis Michaloudis, Nanotechnology Artist
“ - Sky am”: mythologies & methodologies of a nanoartist (to be presented during the conference dinner)
Thursday, 26th September 2019 10:30- 11:00, Jupiter Hall
Thomas Ernst, CEA-LETI, Grenoble, France
Merging Computing and Sensing for Low power and Sustainable Edge Applications
Thursday, 26th September 2019 11:15- 11:45, Athena Hall
Sunggook Park, Louisiana State University, USA
Design and Fabrication of Plastic Nanofluidic Devices for Single Molecule Detection
Thursday, 26th September 2019 12:45- 13:15, Nefeli Hall
John Maltabes, Applied Materials, Germany
The path to Roll to Roll Imprint Technology, an Enabling Technology
Thursday, 26th September 2019 11:00- 11:30, Delphi Hall
Martin Lopez, International Iberian Nanotechnology Laboratory-INL, Portugal
Biomimetics of photosynthetic photonic structures. How natural light harvesting could become an inspiration for nanotechnology
18
NEM20
19International Conference on
Rod
os
Pal
ace F
loor
Pla
n
19
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
rog
ram
at
a G
lance
Mo
nd
ay,
Sep
tem
ber
23
rd, 2
019
NE
FE
LI
HA
LL
AN
EF
EL
I
HA
LL
B08
:30-
08:4
5
09:
00
-10
:30
Tu
tori
al 1
Tu
tori
al 2
Na
no
ge
ne
rato
rsZ
ho
ng
Lin
Wan
g
Fu
nd
am
en
tals
of
We
ttin
g, D
rop
lets
an
d
rela
ted
Ap
plicati
on
s A
lidad
Am
irfa
zli
10:3
0-1
0:4
5C
off
ee
bre
ak
10:4
5-12
:30
Na
no
ge
ne
rato
rsZ
ho
ng
Lin
Wan
g
Fu
nd
am
en
tals
of
We
ttin
g,
Dro
ple
ts a
nd
re
late
d
Ap
plicati
on
s A
lidad
Am
irfa
zli
12:3
0-1
3:0
0
Re
gis
trati
on
Lig
ht
Lu
nch
Tu
tori
al 3
13:0
0-1
3:45
Inn
ovati
on
Ma
na
ge
me
nt
Ma
ste
rcla
ss
Fo
tis
Fili
pp
op
ou
los
13:4
5-14
:00
Co
ffe
e b
reak
14:0
0-1
6:0
0In
no
vati
on
Ma
na
ge
me
nt
Ma
ste
rcla
ss
Fo
tis
Fili
pp
op
ou
los
16:0
0-1
6:15
16:3
0-1
8:15
Op
en
ing
of
Co
nfe
ren
ce
& E
xh
ibit
ion
W
elc
om
e R
ece
pti
on
18:3
0-1
8:45
Tra
nsp
ort
to
th
e O
ld C
ity
19:0
0-1
9:30
Vis
it a
t th
e K
nig
hts
Pa
lace
19:3
0-2
0:3
0
20:4
5-21
:30
Cu
ltu
ral E
ve
nt:
T
he
so
un
d o
f H
om
eri
c S
ing
ing
22:0
0Tra
nsp
ort
ba
ck t
o t
he
Co
nfe
ren
ce
Ve
nu
e
Tuesd
ay, S
ep
tem
ber
24
th, 2
019
NE
FE
LI
HA
LL
D
EL
PH
IJU
PIT
ER
H
AL
LA
TH
EN
A
HA
LL
08:
30-0
8:45
Op
en
ing
Se
ssio
n
08:
45-0
9:30
Ple
na
ry 1
Mo
ore
's L
aw
- P
ast
, Pre
sen
t a
nd
Fu
ture
Yan
Bo
rod
ovs
ky
09:
30-1
0:15
Ple
na
ry 2
Ho
w t
o a
rre
st a
nd
tra
nsp
ort
bio
log
ica
l n
an
o-o
bje
cts
on
e a
t a t
ime
:
Na
no
va
lvin
g o
f in
div
idu
al V
iru
ses
an
d M
acro
mo
lecu
les
in liq
uid
s D
imo
s P
ou
likako
s
10:15
-10
:45
Co
ffe
e b
reak
10:4
5 -1
2:0
0S
ess
ion
A1:
E
UV
an
d T
alb
ot
Lit
ho
gra
ph
y
Se
ssio
n B
1:
Na
no
stru
ctu
red
S
urf
ace
s
Se
ssio
n C
1:
Se
nso
rs
& A
ctu
ato
rs I
Se
ssio
n D
1:
Na
no
me
dic
ine
&
Dru
g d
elive
ry
12:0
0-1
3:0
0L
un
ch
bre
ak
13:0
0-1
4:15
Se
ssio
n A
2:
Etc
hin
g
Se
ssio
n B
2:
We
ttin
g I
Se
ssio
n C
2:
Se
nso
rs &
A
ctu
ato
rs I
I
Se
ssio
n D
2:
Bio
sen
sors
I
14:15
-16:
15P
OS
TE
R S
ES
SIO
N I
(E
VE
N N
UM
BE
RS
)
16:15
-17:
00
Ple
na
ry 3
E
me
rgin
g T
ech
no
log
ies
for
Bio
hy
bri
d D
ev
ice
s Ta
keu
chi S
ho
ji
17:0
0-1
7:45
Key
-no
te o
n I
nn
ovati
on
Inn
ovati
on
Min
dse
t: t
he
to
p 1
0 c
riti
ca
l in
sig
hts
eve
ry t
ech
no
log
y
en
tre
pre
ne
ur
sho
uld
kn
ow
Fo
tis
Fili
pp
op
ou
los
17:4
5-18
:00
Sh
ort
Bre
ak
18:0
0-1
9:0
0S
ess
ion
A3
: M
od
eli
ng
&
Me
tro
log
y
Se
ssio
n B
3:
Na
no
fab
ricati
on
&
Na
no
dev
ice
s
Se
ssio
n C
3:
Fle
xib
le &
W
ea
rab
les
Se
ssio
n D
3:
Ce
ll &
Org
an
-o
n-c
hip
I
20
NEM20
19International Conference on
Wed
nesd
ay, S
ep
tem
ber
25
th, 2
019
NE
FE
LI
HA
LL
D
EL
PH
IJU
PIT
ER
H
AL
LA
TH
EN
A
HA
LL
08:
30
08:
45-0
9:30
Ple
na
ry 4
In
tellig
en
t a
nd
pre
cis
e fl
ow
co
ntr
ol fo
r n
ex
t-g
en
era
tio
n
mic
rofl
uid
ic P
OC
dia
gn
ost
ics
Em
man
ue
l De
lam
arc
he
09:
30-1
0:15
Ple
na
ry 5
T
he
Revo
luti
on
of
Silic
on
Ph
oto
nic
s M
ich
al L
ipso
n
10:15
-10
:45
Co
ffe
e b
rea
k
10:4
5 -1
2:0
0S
ess
ion
A4
: E
UV
an
d Τ
alb
ot
Lit
ho
gra
ph
y
Se
ssio
n B
4:
We
ttin
g I
I
Se
ssio
n C
4:
Ph
ysi
ca
l S
en
sors
Se
ssio
n D
4:
La
b-o
n a
ch
ip
Te
ch
no
log
ies
12:0
0-1
2:15
Lu
nch
bre
ak
12:15
- 12
:30
12:3
0-1
2:45
12:4
5-13
:00
13:0
0-1
4:15
Se
ssio
n A
5:
Ind
ust
ria
l
Se
ssio
n B
5:
Ind
ust
ria
l
Se
ssio
n C
5:
En
erg
y
ha
rve
stin
g
Dev
ice
s
Se
ssio
n D
5:
Ce
lls
& O
rga
n-
on
-ch
ip I
I
14:15
-16:
15P
OS
TE
R S
ES
SIO
N I
I (O
DD
NU
MB
ER
S)
16:15
-17:
00
Ple
na
ry 6
N
an
og
en
era
tors
fo
r se
lf-p
ow
ere
d s
yst
em
s a
nd
se
nso
rs
Wan
g, Z
ho
ng
Lin
17:0
0-1
8:15
Se
ssio
n A
6:
NIL
& N
ove
l L
ith
og
rap
hie
s
Se
ssio
n B
6:
Na
no
fab
fo
r B
ioa
pp
licati
on
s
Se
ssio
n C
6:
Ph
oto
nic
S
tru
ctu
res
Se
ssio
n D
6:
Ind
ust
ria
l
18:15
-19:
30
19:3
0-2
2:0
0G
ala
din
ne
r
Th
urs
day
, Sep
tem
ber
26
th, 2
019
N
EF
EL
I H
AL
L
DE
LP
HI
JU
PIT
ER
H
AL
LA
TH
EN
A
HA
LL
08:
30
08:
45-0
9:30
Ple
na
ry 7
M
NE
Fe
llo
w A
wa
rd &
Le
ctu
re
He
lla-C
hri
stin
Sch
ee
r
09:
30-1
0:15
Yo
un
g I
nve
stig
ato
r A
wa
rd
Inv
ite
d L
ectu
re
Yu
kse
l Te
miz
/ A
wa
rd C
ere
mo
ny
10:15
-10
:45
Co
ffe
e b
reak
10:4
5 -1
2:0
0
Se
ssio
n A
7:
Ele
ctr
on
an
d
Ion
Be
am
L
ith
og
rap
hy
Se
ssio
n B
7:
Na
no
stru
ctu
res
for
Ph
oto
nic
s
Se
ssio
n C
7:
Mate
ria
ls &
D
ev
ice
s fo
r n
an
oe
lectr
on
ics
Se
ssio
n D
7:
Mic
ro &
Na
no
F
luid
ics
12:0
0-1
3:0
0L
un
ch
bre
ak
13:0
0-1
4:0
0S
ess
ion
A8
: In
du
stri
al I
Se
ssio
n B
8:
We
ttin
g I
II
Se
ssio
n C
8:
Mate
ria
ls f
or
Na
no
ele
ctr
on
ics
II
Se
ssio
n D
8:
Dev
ice
s fo
r D
NA
stu
die
s
14:0
0-1
4:15
Co
ffe
e b
rea
k
14:15
-15:
15S
ess
ion
A9
: In
du
stri
al II
S
ess
ion
B9
: N
an
ofa
bri
cati
on
Se
ssio
n C
9:
Mate
ria
ls f
or
ph
oto
nic
s
Se
ssio
n D
9:
La
b &
Org
an
o
n-c
hip
15:15
-15:
30S
ho
rt b
reak
15:3
0-1
6:30
Se
ssio
n A
10:
Re
sist
s S
ess
ion
B10
: M
icro
fab
ricati
on
Se
ssio
n C
10:
Se
nso
rs &
ME
MS
Se
ssio
n D
10:
Ch
em
. S
en
sors
&
Bio
sen
sors
II
16:3
0-1
6:35
Sh
ort
bre
ak
16:3
5-17
:20
Ple
na
ry 8
Ne
w M
ate
ria
ls a
nd
Dev
ice
s fo
r In
terf
acin
g w
ith
th
e B
rain
G
eo
rge M
alli
ara
s
17:2
0-1
7:30
An
no
un
ce
me
nts
& C
losi
ng
Re
ma
rks
17:3
0-2
1:00
Exc
urs
ion
to
Lin
do
s
Pro
gra
m a
t a
Gla
nce
21
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, Greece
22
EM
2019
International Conference on
N
ScientificProgram
Note: Papers are listed by Topic and by session.
Short abstracts are available on the mobile application of the conference.
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
NE
FE
LI
HA
LL
AN
EF
EL
I H
AL
L B
09
:00
-10
:30
Tu
tori
al
1N
an
og
en
era
tors
Zh
on
g L
in W
an
gG
eo
rgia
Tech
Tu
tori
al
2F
un
da
me
nta
ls o
f W
ett
ing
, D
rop
lets
an
d r
ela
ted
Ap
pli
ca
tio
ns
Alid
ad
Am
irfa
zli
Yo
rk U
niv
ers
ity
10:3
0-1
0:4
5C
OF
FE
E B
RE
AK
10:4
5-1
2:1
5T
uto
ria
l 1
Na
no
ge
ne
rato
rsZ
ho
ng
Lin
Wan
gG
eo
rgia
Tech
Tu
tori
al
2F
un
da
me
nta
ls o
f W
ett
ing
, D
rop
lets
an
d r
ela
ted
Ap
pli
ca
tio
ns
Alid
ad
Am
irfa
zli
Yo
rk U
niv
ers
ity
12:1
5-1
2:4
5L
IGH
T L
UN
CH
13:0
0-1
3:4
5T
uto
ria
l 3
Inn
ov
ati
on
Ma
na
ge
me
nt
Ma
ste
rcla
ssF
oti
s F
ilip
po
po
ulo
sC
uri
ou
s In
c. a
nd
Inte
rnati
on
al H
elle
nic
Un
iv.,
Gre
ece
13:4
5-1
4:0
0C
OF
FE
E B
RE
AK
14:0
0-1
6:0
0T
uto
ria
l 3
Inn
ov
ati
on
Ma
na
ge
me
nt
Ma
ste
rcla
ssF
oti
s F
ilip
po
po
ulo
sC
uri
ou
s In
c. a
nd
Inte
rnati
on
al H
elle
nic
Un
iv.,
Gre
ece
16:3
0-1
8:1
5O
PE
NIN
G O
F C
ON
FE
RE
NC
E A
ND
EX
HIB
ITIO
N
WE
LC
OM
E R
EC
EP
TIO
N
MO
ND
AY S
EPTEM
BER 2
3RD, 2019
24
Sci
entifi
c P
rog
ram
JU
PIT
ER
HA
LL
Op
en
ing
Se
ssio
nC
hair
s: V
. C
on
stan
tou
dis
, U
. S
tau
fer
08
:45
-09
:30
P
len
ary
1M
oo
re’s
La
w -
Pa
st,
Pre
sen
t a
nd
Fu
ture
Y
an
Bo
rod
ovsk
yF
orm
er
Inte
l S
en
ior
Fello
w, U
SA
09
:30
-10
:15
P
len
ary
2H
ow
to
arr
est
an
d t
ran
spo
rt b
iolo
gic
al
na
no
-ob
jec
ts o
ne
at
a t
ime
: N
an
ov
alv
ing
of
ind
ivid
ua
l V
iru
ses
an
d M
ac
rom
ole
cu
les
in l
iqu
ids
Dim
os
Po
ulik
ako
s E
TH
Zu
rich
, In
stit
ut
für
En
erg
iete
ch
nik
, Sw
itze
rlan
d
10:1
5-1
0:4
5C
OF
FE
E B
RE
AK
TUESD
AY S
EPTEM
BER 2
4th, 2019
25
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
1:
EU
V A
ND T
ALBO
T
LIT
HO
GR
APH
Y
Ch
air
s: J
. R
an
dal,
C. M
ack
SESSIO
N B
1:
NAN
OS
TRUC
TURED
SURFACES
Ch
air
s: E
. M
akaro
na
, G
. Io
rdach
e
SESSIO
N C
1:
SEN
SO
RS &
AC
TU
ATO
RS I
Ch
air
s: Z
. C
ui,
I. R
ap
tis
SESSIO
N D
1:
NAN
OM
ED
ICIN
E &
DRUG
D
ELIV
ER
YC
hair
s: A
. G
era
rdin
o, JA
Pla
za
10:4
5A
1-1
Ex
tre
me
u
ltra
vio
let
inte
rfe
ren
ce
lith
og
rap
hy
fo
r in
-la
b
ph
oto
re-
sist
d
ev
elo
pm
en
t a
nd
la
rge
-are
a
na
no
pa
tte
rnin
gS
asc
ha B
rose
1,2, S
erh
iy D
an
yly
uk
1,2,
Ch
rist
ian
Kais
er3
, Maik
Ge
rng
roß
3,
Jo
ch
en
Sto
llen
we
rk1,
2,4, M
att
hia
s S
ch
irm
er3
, Pete
r L
oo
sen
1,2
,4
1 RW
TH
Aach
en
Un
ivers
ity,
Aach
en
, Germ
an
y,
2JA
RA
–
Fu
nd
am
en
tals
o
f F
utu
re In
form
a-
tio
n T
ech
no
log
y, J
ülic
h,
Germ
an
y, 3
Allr
esi
st
Gm
bH
, S
trau
sberg
, G
erm
an
y,
4F
rau
nh
ofe
r In
stit
ute
fo
r L
ase
r Te
chn
olo
gy,
Aach
en
, G
er-
man
y
B1-
1U
V-s
en
sor
ma
de
by
ca
pil
lary
fill
-in
g
me
tal
ink
s o
n
pre
pa
tte
rne
d
sub
stra
tes
He
lmu
t S
ch
ift1 ,
Eth
ou
ba A
l Jass
in-A
l-H
ash
em
i1,2, B
arb
ara
Ho
rváth
1 , S
am
i B
ola
t3
1 Pau
l Sch
err
er
Inst
itu
t (p
si),
Vill
igen
Psi
, Sw
it-
zerl
an
d,
2U
niv
ers
ity
of
Base
l, B
ase
l, S
wit
-ze
rlan
d,
3S
wis
s F
ed
era
l L
ab
ora
tori
es
for
Mat
eri
als
Sci
en
ce a
nd
Te
chn
olo
gy
(EM
PA
),
Dü
ben
do
rf, S
wit
zerl
an
d
C1-
1_ I
NV
Fle
xib
le
na
no
-ele
ctr
on
ics
via
la
rge
-are
a
ma
nu
fac
turi
ng
p
ara
-d
igm
sT
ho
mas
An
tho
po
ulo
s K
ing
A
bd
ulla
h
Un
ivers
ity
of
Sci
en
ce
an
d
Tech
no
log
y (K
AU
ST
) an
d K
AU
ST
So
lar
Cen
-tr
e, S
au
di A
rab
ia
D1-
1U
ltra
sou
nd
-tri
gg
ere
d
PL
GA
-mi-
cro
Pla
tes
de
gra
da
tio
n f
or
on
-co
m-
ma
nd
dru
g d
eli
ve
ryE
lisa S
ciu
rti1,
2, R
osi
ta P
rim
ave
ra3,
Mart
ina D
i Fra
nce
sco
3, D
an
iele
D
i Masc
olo
3, A
less
an
dro
Riz
zo1,
2,
An
ton
io B
ale
na1,
2, S
an
osh
Ku
nja
lukkal
Pad
man
ab
han
2, F
ran
ce
sco
Riz
zi1 ,
Pao
lo
De
cu
zzi
3, M
ass
imo
De V
itto
rio
1,2
1 Cen
ter
for
Bio
-Mo
lecu
lar
Nan
ote
chn
olo
gie
s,
Isti
tuto
Italia
no
di T
ecn
olo
gia
, A
rnesa
no
, Ita
ly,
2D
ipart
imen
to d
i In
geg
neri
a d
ell’
Inn
ova
zio
ne
, U
niv
ers
ità
del
Sale
nto
, Le
cce
, It
aly
, 3L
ab
ora
-to
ry o
f N
an
ote
chn
olo
gy
for
Pre
cisi
on
Me
di-
cin
e,
Isti
tuto
Ita
lian
o d
i Te
cno
log
ia,
Gen
ova
, It
aly
11:0
0A
1-2
Fu
nd
am
en
tal
Re
sea
rch
A
cti
vit
ies
of
Ex
tre
me
U
ltra
vio
let
Lit
ho
gra
-p
hy
at
Ne
wS
UB
AR
U S
yn
chro
tro
n
faci
lity
Take
o W
ata
nab
e1 ,
Tets
uo
Hara
da1
1 Un
ive
rsit
y o
f H
yog
o, A
kou
-gu
n, J
ap
an
B1-
2D
ire
ct
Na
no
imp
rin
tin
g o
f C
oll
oid
al
Se
lf-O
rga
niz
ing
N
an
ow
ire
/-p
art
i-cl
e I
nk
s fo
r F
lex
ible
, T
ran
spa
ren
t E
lec
tro
de
sL
ukas
F. E
ng
el1 ,
Jo
han
ne
s H
. M. M
au
rer1 ,
Th
om
as
Kis
ter1 ,
Lo
la G
on
zále
z-G
arc
ía1 ,
To
bia
s K
rau
s1,2
1 INM
-
Leib
niz
In
stit
ute
fo
r N
ew
M
ateri
als
, S
aarb
rück
en
, G
erm
an
y,
2C
ollo
id
an
d
Inte
r-fa
ce
Ch
em
istr
y,
Saarl
an
d
Un
ivers
ity,
S
aar-
brü
cken
, Germ
an
y
D1-
2T
um
ou
r sp
he
roid
s fo
rme
d
in
a
ca
ge
d s
pa
ce f
or
dru
g a
nd
mic
ro-
flu
idic
ba
sed
ass
ay
s Y
on
g H
e1 ,
Bo
xin
Hu
an
g1 ,
Elr
ad
e
Ro
faan
i1 , Jie
Hu
1 , Y
uan
hu
i Liu
1 , G
ab
rie
le
Pit
ing
olo
1 , L
i Wan
g2, J
ian
Sh
i2, C
aro
le
Aim
e1 ,
Yo
ng
Ch
en
1
1 Éco
le
No
rmale
S
up
éri
eu
re-P
SL
Rese
arc
h
Un
ivers
ity,
S
orb
on
ne
Un
ivers
ités
- U
PM
C
Un
iv P
ari
s 0
6,
CN
RS
UM
R 8
64
0 P
AS
TE
UR
, P
ari
s, F
ran
ce, 2
Meso
Bio
Tech
, Pari
s, F
ran
ce
TUESD
AY S
EPTEM
BER 2
4TH, 2019
26
Sci
entifi
c P
rog
ram
TUESD
AY S
EPTEM
BER 2
4th, 2019
11:1
5A
1-3
La
rge
-are
a
resi
stle
ss
pa
tte
rnin
g
on
hy
dro
ge
n-t
erm
ina
ted
Si
usi
ng
E
UV
lit
ho
gra
ph
y
Li-
Tin
g T
sen
g1 ,
Dim
itri
os
Kazazi
s1 , P
roco
pio
s C
on
stan
tin
ou
2, T
aylo
r S
tock
3,
Ne
il C
urs
on
3, S
teve
n S
ch
ofi
eld
2, G
ab
rie
l A
ep
pli1,
4,5, Y
asi
n E
kin
ci1
1 Pau
l S
cherr
er
Inst
itu
t, V
illig
en
, S
wit
zerl
an
d,
2D
ep
art
men
t o
f P
hys
ics
an
d
Ast
ron
om
y,
Un
ive
rsit
y C
olle
ge L
on
do
n,
Lo
nd
on
, U
nit
ed
K
ing
do
m, 3
Lo
nd
on
Cen
tre f
or
Nan
ote
chn
olo
-g
y, U
niv
ers
ity
Co
lleg
e L
on
do
n, L
on
do
n, U
nit
-e
d K
ing
do
m,
4E
PF
L,
Lau
san
ne
, S
wit
zerl
an
d,
5E
TH
Zu
rich
, Zu
rich
, Sw
itze
rlan
d
B1-
3G
rap
he
ne
on
fu
nc
tio
na
l p
oly
me
rs
– e
va
lua
tio
n o
f st
ress
an
d d
op
ing
, a
nd
ap
pli
ca
tio
ns
Man
ue
l Mü
ller1 ,
Ru
kan
Nasr
i1,2,
Fe
resh
ta H
afi
zi1 ,
Jo
n P
ole
nsk
y1 ,
Carm
en
He
rrm
an
n2, M
irko
Lo
hse
3,
Man
ue
l Th
ese
n3, G
ab
i Grü
tzn
er3
, Ire
ne
Fe
rnan
dez-
Cu
est
a1
1 Un
ivers
ity
Of
Ham
bu
rg,
Inst
itu
te f
or
nan
o-
stru
ctu
re an
d so
lidst
ate p
hys
ics,
H
am
bu
rg,
Germ
an
y,
2U
niv
ers
ity
of
Ham
bu
rg,
De
part
-m
en
t o
f C
hem
istr
y, H
am
bu
rg, G
erm
an
y, 3
mi-
cro
resi
st t
ech
no
log
y G
mb
H, B
erl
in, G
erm
an
y
C1-
2O
pti
miz
ed
ma
gn
et
con
fig
ura
tio
ns
for
Lo
ren
tz a
ctu
ati
on
of
a μ
-Co
rio
-li
s m
ass
flo
w s
en
sor
Th
om
as
Sch
ut1 ,
Yan
nic
k K
lein
1 , R
em
co
W
ieg
eri
nk
1 , H
an
Gard
en
iers
1 , Jo
ost
L
ött
ers
1,2
1 Uiv
ers
ity
Of
Twen
te, E
nsc
he
de
, Neth
erl
an
ds,
2B
ron
kho
rst
Hig
h-t
ech
B
V,
Ru
url
o,
Neth
er-
lan
ds
D1-
3F
ab
ric
ati
on
an
d e
x v
ivo
re
ten
tio
n
stu
dy
of
bio
de
gra
da
ble
mic
roco
n-
tain
ers
fo
r o
ral d
rug
de
liv
ery
Z
arm
ee
na A
bid
1,2, M
ett
e M
osg
aard
1,3,
Gio
rgio
Man
fro
ni1,
2, R
itik
a S
ing
h
Pete
rse
n1,
2, L
ine H
ag
ne
r N
iels
en
1,3,
An
ett
e M
ülle
rtz1,
4, A
nja
Bo
ise
n1,
3,
Ste
ph
an
Sylv
est
Ke
ller1,
2
1 Th
e
Dan
ish
N
atio
nal
Rese
arc
h
Fo
un
dat
ion
an
d V
illu
m F
ou
nd
atio
n’s
Cen
ter
for
Inte
llig
en
t D
rug
Deliv
ery
an
d S
en
sin
g U
sin
g M
icro
con
-ta
iners
an
d
Nan
om
ech
an
ics
(ID
UN
),
Kg
s.
Lyn
gb
y, D
en
mark
, 2N
atio
nal
Cen
tre f
or
Nan
o
Fab
rica
tio
n a
nd
Ch
ara
cteri
zati
on
, DT
U N
an
o-
lab
, Te
chn
ical
Un
ivers
ity
of
Den
mark
, K
gs.
Ly
ng
by,
D
en
mark
, 3D
ep
art
men
t o
f H
ealt
h
Tech
no
log
y, D
TU
Healt
h T
ech
, Te
chn
ical U
ni -
vers
ity
of
Den
mark
, K
gs.
Lyn
gb
y, D
en
mark
, 4D
ep
art
men
t o
f P
harm
acy
, F
acu
lty
of
Healt
h
an
d M
ed
ical
Sci
en
ces,
Un
ivers
ity
of
Co
pen
-h
ag
en
, 210
0 C
op
en
hag
en
, Den
mark
27
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
TUESD
AY S
EPTEM
BER 2
4TH, 2019
11:3
0A
1-4
Sim
ula
tio
n a
nd
na
no
fab
ric
ati
on
of
com
ple
x
EU
V
ach
rom
ati
c T
alb
ot
lith
og
rap
hy
ma
sks
for
hig
h-r
eso
-lu
tio
n
an
d
hig
h-t
hro
ug
hp
ut
pa
t-te
rnin
gD
imit
rio
s K
azazi
s1 , L
i-T
ing
Tse
ng
1 , Y
asi
n
Ekin
ci1
1 Pau
l S
cherr
er
Inst
itu
te, V
illig
en
-PS
I, S
wit
zer-
lan
d
B1-
4F
ab
ric
ati
on
of
A L
ow
-No
ise
In
ter-
cha
ng
ea
ble
P
latf
orm
fo
r N
an
o-
stru
ctu
red
T
ran
spo
rt
Me
asu
re-
me
nts
in
Flu
idic
, Cry
og
en
ic, a
nd
In
S
itu
Ele
ctr
on
Mic
rosc
op
y E
nv
iro
n-
me
nts
Jaco
b S
wett
1 , Iv
an
I. K
ravch
en
ko2,
On
dre
j E. D
yck
2, S
tep
he
n J
ess
e2, J
an
A
. Mo
l1,3
1 Un
ivers
ity
of
Oxfo
rd,
Oxfo
rd,
Un
ite
d K
ing
-d
om
, 2O
ak
Rid
ge N
atio
nal
Lab
ora
tory
, O
ak
Rid
ge
, Un
ite
d S
tate
s, 3
Qu
een
Mary
Un
ivers
ity
of
Lo
nd
on
, Lo
nd
on
, Un
ite
d K
ing
do
m
C1-
3O
pti
ca
l W
av
eg
uid
e
Sw
itch
ing
B
ase
d o
n a
Co
-In
teg
rate
d S
MA
Bi-
mo
rph
Ac
tua
tor
San
az
Rast
joo
1 , R
an
dy F
ech
ne
r1 , M
irko
K
ötz
1 , M
an
fre
d K
oh
l1
1 Karl
sru
he I
nst
itu
te O
f Te
chn
olo
gy
(kit
) /
In-
stit
ute
Of
Mic
rost
ruct
ure
Te
chn
olo
gy
(im
t),
Eg
gen
stein
-Le
op
old
shaf
en
, Germ
an
y
D1-
4_
INV
Na
no
me
dic
ine
wit
h S
ilic
on
Na
no
-st
ruc
ture
sN
ico
las
Vo
elc
ker, B
eatr
iz P
rieto
-Sim
o
Mo
nash
U
niv
ers
ity,
M
elb
ou
rne
Cen
ter
for
Nan
ofa
bri
cati
on
, Au
stra
lia
11:4
5A
1-5
Dis
pla
cem
en
t T
alb
ot
Lit
ho
gra
ph
y
– a
n e
me
rgin
g t
ech
no
log
y f
or
rap
-id
na
no
pa
tte
rnin
g o
n 8
-in
ch s
ca
leK
on
stan
tin
s Je
fim
ovs1,
2, M
ati
as
Kag
ias1,
2, J
oan
Vila
-Co
mam
ala
1,2, Z
hit
ian
S
hi1,
2, C
hri
stia
n D
ais
3, H
aru
n S
ola
k3,
Lu
cia
Ro
man
o1,
2,4, S
ijia X
ie1 ,
He
lmu
t S
ch
ift1 ,
Marc
o S
tam
pan
on
i1,2
1 Pau
l S
cherr
er
Inst
itu
t, V
illig
en
, S
wit
zerl
an
d,
2In
stit
ute
fo
r B
iom
ed
ical
En
gin
ee
rin
g,
Un
i-ve
rsit
y an
d E
TH
Zü
rich
, Z
üri
ch,
Sw
itze
rlan
d,
3E
ulit
ha
AG
, K
irch
do
rf,
Sw
itze
rlan
d,
4D
ep
art
-m
en
t o
f P
hys
ics
an
d C
NR
-IM
M-
Un
ivers
ity
of
Cat
an
ia, C
atan
ia, I
taly
B1-
5S
tab
le
fab
ric
ati
on
o
f a
nti
-re
-fl
ec
tio
n
wit
h
na
no
-str
uc
ture
fo
r h
igh
-te
mp
era
ture
ap
pli
ca
tio
nK
azu
ma K
uri
hara
1 , R
yo
he
i Ho
kai1 ,
Ko
uji
Miy
ake
1
1 Nat
ion
al I
nst
itu
te o
f A
dva
nce
d In
du
stri
al S
ci-
en
ce a
nd
Te
chn
olo
gy
(AIS
T),
Tsu
kub
a, J
ap
an
C1-
4A
n o
pto
me
cha
nic
al r
eso
na
tor
wit
h
a p
lasm
on
ic h
alf
bu
ll’s
ey
e a
nte
n-
na
an
d a
n a
pe
rtu
re f
or
wa
ve
len
gth
d
ete
cti
on
Re
o K
om
eta
ni1 ,
Ko
dai T
an
aka
2, S
hin
’ich
i W
ari
saw
a1
1 Th
e U
niv
ers
ity
Of
Tokyo
, C
hib
a,
Jap
an
, 2T
he
Un
ivers
ity
Of
Tokyo
, To
kyo
, Jap
an
12:0
0-1
3:0
0L
UN
CH
BR
EA
K
28
Sci
entifi
c P
rog
ram
TUESD
AY S
EPTEM
BER 2
4th, 2019
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
2:
ETCH
ING
Ch
air
s: J
.A. L
idd
le, E
. G
og
olid
es
SESSIO
N B
2:
WETTIN
G I
Ch
air
s: K
. M
eg
ari
dis
, K
. E
llin
as
SESSIO
N C
2:
SEN
SO
RS &
AC
TU
ATO
RS II
Ch
air
s: R
. K
om
eta
ni,
J. G
asp
ar
SESSIO
N D
2:
BIO
SEN
SO
RS I
Chairs:
S. C
hatz
and
roulis
, H. B
rueck
l
13:0
0A
2-1
Etc
h c
ha
lle
ng
es
on
Sin
gle
an
d D
ua
l S
OI
fin
s p
att
ern
ing
fo
r C
FE
T
at
25
nm
fin
pit
chB
T C
han
, Ju
erg
en
Bo
em
me
ls, J
ulie
n
Ryckae
rt, L
ipin
g Z
han
g, Z
he
ng
Tao
, A
ltam
iran
o S
an
ch
ez, J
ean
-Fra
nço
is d
e
Marn
eff
eIm
ec, L
eu
ven
, Belg
ium
B2
-1_
INV
Wh
at
ca
n a
nd
ca
nn
ot
be
do
ne
wit
h
Su
pe
rhy
dro
ph
ob
ic,
or
Om
nip
ho
-b
ic s
urf
ace
s?
Alid
ad
Am
irfa
zli
Yo
rk U
niv
ers
ity,
Can
ad
a
C2
-1M
icro
fa
bri
ca
ted
ele
ctr
on
op
tic
al
syst
em
sC
.T.H
. He
erk
en
s, M
.A.R
. Kri
ela
art
, Ir. P
. K
ruit
1 TU
Delf
t fa
cult
y o
f ap
plie
d sc
ien
ces,
D
elf
t,
Neth
erl
an
ds
D2
-1A
pp
lica
tio
n o
f ju
nct
ion
less
na
no
w-
ire
tra
nsi
sto
rs a
s u
ltra
sen
siti
ve
bio
-se
nso
rsY
ord
an M
. Geo
rgie
v1,2, N
iko
lay P
etk
ov3
, R
an Y
u3, A
dri
an M
. Nig
hti
ng
ale
4,
Eliz
ab
eth
Bu
itra
go
5, O
lan L
ott
y3, J
oh
n C
. d
eM
ello
4, A
dri
an M
. Io
nesc
u5, J
ust
in D
. H
olm
es3
1 Inst
itute
οf
Ion B
eam
Phys
ics
An
d M
ateri
als
Rese
arch
, H
elm
ho
ltz-
Centr
um
D
resd
en
-ro
s -se
nd
orf
(H
ZD
R),
Dre
sden
, G
erm
any,
2In
stit
ute
o
f E
lect
ron
ics
at th
e B
ulg
aria
n A
cad
em
y o
f S
cien
ces,
S
ofi
a,
Bulg
aria
, 3M
ateri
als
Ch
em
is-
try
& A
nal
ysis
Gro
up
, Sch
oo
l of
Ch
em
istr
y an
d
Tyn
dal
l N
atio
nal
In
stit
ute
, U
niv
ers
ity
Co
lleg
e
Co
rk,
Co
rk,
Irel
and
, 4Im
peri
al C
olle
ge
Lon
do
n,
Lon
do
n,
Un
ited
K
ing
do
m,
5N
ano
elect
ron
ic
Dev
ices
Lab
ora
tory
(N
ano
lab),
Éco
le P
oly
tech
-n
iqu
e F
éd
éra
l d
e Lau
san
ne
(EP
FL)
, Lau
san
ne,
Sw
itze
rlan
d
13:1
5A
2-2
On
th
e f
orm
ati
on
of
Bla
ck S
ilic
on
in
SF
6-O
2 p
lasm
a: B
Si o
n D
em
an
dV
y T
hi H
oan
g N
gu
ye
n, F
lem
min
g
Je
nse
n, J
ørg
Hu
bn
er, H
en
ri J
an
sen
Tech
nic
al
Un
ivers
ity
of
Den
mark
, K
on
gen
s Ly
ng
by,
Den
mark
C2
-2S
ilic
on
-ba
sed
M
icro
O
scil
lati
ng
H
ea
t P
ipe
s fo
r H
igh
En
erg
y P
hy
sic
s a
nd
Sp
ace
Ap
pli
ca
tio
ns
Tim
oth
ée F
rei1,
2,3, D
ieg
o A
lvare
z F
eit
o1 ,
Gré
go
ire B
ou
rban
3, A
nd
rea C
ati
naccio
1 , M
ich
el D
esp
on
t2, V
olk
er
Gass
3, A
rno
H
oo
ge
rwe
rf2, E
lisa L
au
di1 ,
Ale
ssan
dro
M
ap
elli
1
1 CE
RN
, G
en
ève
, S
wit
zerl
an
d,
2C
SE
M,
Neu
-ch
âtel,
Sw
itze
rlan
d,
3E
PF
L,
Lau
san
ne
, S
wit
-ze
rlan
d
D2
-2N
an
op
lasm
on
ic m
id-I
R b
iose
nso
rs
for
ult
rase
nsi
tiv
e m
ole
cula
r sp
ec-
tro
sco
py
A
ure
lian
Jo
hn
-He
rpin
, An
dre
as
Tit
tl,
Mari
a S
ole
r, H
ati
ce A
ltu
gE
cole
Po
lyte
chn
iqu
e F
ed
era
le d
e L
au
san
ne
, L
au
san
ne
, Sw
itze
rlan
d
29
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
13:3
0A
2-3
_IN
VA
pp
lic
ati
on
s o
f A
tom
ic
La
ye
r D
ep
osi
tio
n (
AL
D)
an
d A
tom
ic L
ay
-e
r E
tch
(A
LE
) in
Ad
va
nce
d S
em
i-co
nd
uc
tor
Ma
nu
fac
turi
ng
M
ich
al D
an
ek
Lam
Rese
arc
h C
orp
ora
tio
n, U
SA
B2
-2F
ab
ric
ati
on
of
ela
stic
me
tall
ic s
u-
pe
rhy
dro
ph
ob
ic s
urf
ace
sS
eye
d M
eh
ran
Mir
mo
ham
mad
i1 , S
ash
a
Ho
shia
n1,
2, V
ille P
. Jo
kin
en
1 , S
am
i F
ran
ssila
1
1 Aalt
o U
niv
ers
ity,
Esp
oo
, F
inla
nd
, 2A
dva
cam
Ltd
, Esp
oo
, Fin
lan
d
C2
-3M
ult
i-p
ara
me
ter
pa
pe
r se
nso
r fa
bri
ca
ted
by
in
kje
t-p
rin
ted
sil
ve
r n
an
op
art
icle
an
d P
ED
OT
:PS
SD
imit
ris
Barm
pako
s1,2, C
hri
sto
s Tsa
mis
2,
Gri
go
ris
Kalt
sas1
1 mic
roS
EN
SE
S
Lab
ora
tory
, D
ep
art
men
t o
f E
lect
rica
l an
d E
lect
ron
ic E
ng
ine
eri
ng
, Un
iver -
sity
of
West
Att
ica
, 2In
stit
ute
of
Nan
osc
ien
ce
an
d N
an
ote
chn
olo
gy,
Nat
ion
al C
en
tre f
or
Sci
-en
tifi
c R
ese
arc
h “
Dem
okr
ito
s”
D2
-3N
on
-in
va
siv
e
bla
dd
er
ca
nce
r d
e-
tec
tio
n b
ase
d o
n l
oc
ali
zed
su
rfa
ce
pla
smo
n
reso
na
nce
se
nsi
ng
a
p-
pro
ach
Zi-
Yi Y
an
g1 ,
Yi-
Ch
un
Ch
iu2, W
en
-Hu
ei
Ch
an
g3, C
hu
n-h
un
g L
in1
1 Nat
ion
al C
hen
g K
un
g U
niv
ers
ity,
Tain
an
, Tai-
wan
, 2Ta
ipei C
ity
Ho
spit
al,
Taip
ei,
Taiw
an
, 3N
a-
tio
nal P
ing
tun
g U
niv
ers
ity,
Pin
gtu
ng
, Taiw
an
13:4
5B
2-3
Fu
lly
Org
an
ic a
nd
Bio
de
gra
da
ble
, C
ell
ulo
se-b
ase
d,
Su
pe
rhy
dro
ph
o-
bic
Ma
teri
als
Ath
an
asi
os
Mili
on
is1 ,
Ch
an
de
r S
he
kh
ar
Sh
arm
a1 , R
ao
ul H
op
f1 , M
ich
ae
l U
gg
ow
itze
r1 , Ilke
r S
. Bay
er2
, Dim
os
Po
ulik
ako
s1
1 ET
H Z
uri
ch, Z
uri
ch, Ε
λβε
τία
, 2Is
titu
to I
talia
no
d
i Te
cno
log
ia, G
en
ova
, Ita
ly
C2
-4S
pa
tia
lly
co
ntr
oll
ed
3
D
ori
ga
mi
ME
MS
a
ctu
ati
on
u
sin
g
focu
sed
e
lec
tro
n b
ea
m e
xp
osu
re a
nd
po
ly-
me
r d
en
sifi
ca
tio
nR
ob
ert
Kir
ch
ne
r1 , S
eb
ast
ian
Kill
ge
1 , K
aro
la R
ich
ter1 ,
Dim
itri
os
Kazazi
s2, R
an
Z
han
g1 ,
Jo
han
n W
olf
gan
g B
art
ha1
1 TU
Dre
sden
, Dre
sden
, Germ
an
y, 2
Pau
l Sch
er-
rer
Inst
itu
te, V
illig
en
PS
I, S
wit
zerl
an
d
D2
-4S
ilic
on
-ba
sed
Mo
no
lith
ic S
pe
ctr
o-
sco
pic
Cir
cuit
fo
r L
ab
el-
fre
e P
oin
t-o
f-N
ee
d D
iag
no
stic
sK
on
stanti
no
s M
isia
kos1 ,
Ele
ni M
aka
ron
a1 , M
arc
el H
oekm
an
2, R
om
an
os
Fyro
gen
is3,
Kari
Tu
kkin
iem
i4, G
erh
ard
Jo
bst
5,
Pan
ag
iota
S. P
etr
ou
6, S
oti
rio
s E
. K
aka
bako
s6, A
lexa
nd
ros
Sala
pat
as1 ,
Dim
itri
os
Go
ust
ou
rid
is3, M
ikko
Harj
an
ne
4,
Paiv
i Heim
ala
4, A
nd
rzej B
ud
kow
ski7 ,
Mic
hele
Lees8
, Io
an
nis
Rap
tis1
1 Inst
itute
Of
Nan
osc
ience
and
Nan
ote
chno
log
y,
NC
SR
Dem
okr
itos,
Ath
ens,
Gre
ece, 2
Lio
niX
BV
, E
nsc
hed
e, T
he
Net
her
land
s, 3
Thet
aMet
risi
s S
.A.,
Per
iste
ri,
Att
ikis
, G
reec
e,
4V
TT,
Esp
oo
, F
inla
nd
, 5Jo
bst
Tec
hno
log
ies
Gm
bH
, F
reib
urg
, G
erm
a-ny
, 6In
stitute
of
Nucl
ear
& R
adio
log
ical
Sci
enc-
es
and
Te
chno
log
y,
Ener
gy
&
Saf
ety,
N
CS
R
Dem
okr
itos,
A
ghia
P
aras
kevi
, A
ttik
i, G
reec
e,
7 Jag
ello
nia
n U
niv
ersi
ty, K
rako
w, P
ola
nd
, 8E
UR
O-
FIN
S, N
ante
s, F
rance
14:0
0A
2-4
Se
lf-l
imit
ing
Ato
mic
La
ye
r E
tch
ing
o
f S
iO2
u
sin
g
Lo
w
Te
mp
era
ture
C
ycl
ic A
r/C
HF
3 P
lasm
aS
tefa
no
Cab
rin
i1 , S
tefa
no
Dallo
rto
1,2
,3,
An
dy G
oo
dye
ar2
, Mik
e C
oo
ke2,
Sco
tt D
hu
ey
1 , Ju
lia S
zorn
el1 ,
Ad
am
S
ch
wart
zbe
rg1 ,
Ivo
Ran
ge
low
3
1 Law
ren
ce
Berk
ele
y N
atio
nal
Lab
ora
tory
, B
erk
ele
y, U
nit
ed
Sta
tes,
2O
xfo
rd I
nst
rum
en
ts
Pla
sma
Tech
no
log
y, B
rist
ol B
S4
9 4
AP
, Un
ite
d
Kin
gd
om
, 3Ilm
en
au
Un
ivers
ity
of
Tech
no
log
y,
Ilme
nau
D-9
86
84
, Germ
an
y
B2
-4F
ab
ric
ati
on
a
nd
C
ha
rac
teri
zati
on
o
f A
nti
-Fo
gg
ing
S
urf
ace
s T
em
-p
late
d
fro
m
Blo
ck-C
op
oly
me
r S
elf
-Ass
em
bly
Nik
ola
j Ko
foe
d M
an
dsb
erg
1 , A
gn
iesz
ka
Te
lecka
2, E
mil
Lu
dvig
sen
2, R
afa
el
Tab
ory
ski2
1 DT
U H
ealt
h T
ech
, Ko
ng
en
s Ly
ng
by,
Den
mark
, 2D
TU
Nan
ola
b, K
on
gen
s Ly
ng
by,
Den
mark
C2
-5F
ab
ric
ati
on
a
nd
ch
ara
cte
riza
tio
n
of
SM
A t
hic
k fi
lm a
ctu
ato
r a
rra
y
for
hig
h p
ow
er
tac
tile
dis
pla
yJia
le X
u1 ,
Yu
suke
Kim
ura
1 , K
azu
ki T
suji1 ,
Ko
no
mu
Ab
e2, T
om
om
i Sh
imiz
u2,
Hir
oyasu
Hase
gaw
a2, T
akash
i Min
eta
1
1 Yam
ag
ata
Un
ivers
ity,
Yo
neza
wa
, Ja
pan
, 2To
-ka
i Rik
a C
O. L
TD
, Niw
a, J
ap
an
D2
-5C
ost
-Eff
ec
tiv
e T
hre
e-D
ime
nsi
on
al
Pla
smo
nic
SE
RS
Pa
pe
rs f
or
Ra
pid
P
ara
qu
at
Po
iso
nin
g D
iag
no
sis
wit
h
Po
rta
ble
Ra
ma
n S
pe
ctr
om
ete
rY
u-H
suan
Ch
en
1 , S
ih-W
ei C
han
g2,
Aile
en
Y. S
un
1 , H
sue
n-L
i Ch
en
2, D
eh
ui
Wan
1
1 Nat
ion
al
Tsin
g H
ua
Un
ivers
ity,
Hsi
nch
u,
Tai-
wan
, 2N
atio
nal
Taiw
an
Un
ivers
ity,
Taip
ei,
Tai-
wan
TUESD
AY S
EPTEM
BER 2
4TH, 2019
30
Sci
entifi
c P
rog
ram
TUESD
AY S
EPTEM
BER 2
4th, 2019
14:1
5-1
6:1
5P
OS
TE
R S
ES
SIO
N I
(E
ve
n N
um
be
rs)
JU
PIT
ER
HA
LL
Ch
air
s: J
. G
asp
ar, N
. K
eh
ag
ias
16:1
5-1
7:0
0
Ple
na
ry 3
Em
erg
ing
Te
ch
no
log
ies
for
Bio
hy
bri
d D
ev
ice
sS
ho
ji Take
uch
i To
kyo
Un
ivers
ity,
Jap
an
17:0
0-1
7:4
5
Ke
yn
ote
Le
ctu
re o
n I
nn
ov
ati
on
Inn
ov
ati
on
Min
dse
t: t
he
to
p 1
0 c
riti
ca
l in
sig
hts
ev
ery
te
ch
no
log
y e
ntr
ep
ren
eu
r sh
ou
ld k
no
wF
oti
s F
ilip
po
po
ulo
s
Cu
rio
us
Inc. a
nd
Inte
rnati
on
al H
elle
nic
Un
iv.,
Gre
ece
17:4
5-1
8:0
0S
HO
RT
BR
EA
K
31
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
3:
MO
DELIN
G &
METRO
LO
GY
Ch
air
s: D
. M
aas,
A. V
ekin
is
SESSIO
N B
3:
NAN
OFABRIC
ATIO
N &
N
AN
OD
EVIC
ES
Ch
air
s: T
. E
rnst
, N
. V
ain
os
SESSIO
N C
3:
FLEXIB
LE &
WEAR
ABLES
Ch
air
s: J
.-W
. C
ho
i, E
. M
akaro
na
SESSIO
N D
3:
CELL &
ORG
AN
-O
N-C
HIP
IC
hair
s: S
. F
ran
ssila
, M
. K
itsa
ra
18:0
0A
3-1
De
ep
Le
arn
ing
Na
no
me
tro
log
y o
f L
ine
Ed
ge
Ro
ug
hn
ess
Eva G
ian
nato
u3
,5, V
ass
ilio
s C
on
stan
tou
dis
1,5, G
eo
rge P
ap
avie
ros1,
4,5,
Evan
ge
los
Go
go
lide
s1,5, H
arr
is
Pap
ag
eo
rgio
u3, G
ian
Lo
russ
o2, V
. R
uti
glia
ni2
, F. V
an
Ro
ey
2
1 Inst
itu
te
of
Nan
osc
ien
ce
an
d
Nan
ote
ch-
no
log
y (I
NN
),
N.C
.S.R
. D
em
okr
ito
s,
Ag
ia
Para
skevi
, G
ree
ce,
2IM
EC
, Leu
ven
, B
elg
ium
, 3In
stit
ute
fo
r L
an
gu
ag
e a
nd
Sp
ee
ch P
roce
ss-
ing
(I
LS
P),
A
then
a R
.C.,
Maro
uss
i, G
ree
ce,
4P
hys
ics
De
part
men
t, A
rist
otl
e U
niv
ers
ity
of
Th
ess
alo
nik
i, T
hess
alo
nik
i, G
ree
ce, 5
Nan
om
e-
tris
is P
.C.,
Ag
ia P
ara
skevi
, Gre
ece
e
B3
-1S
up
er-
reso
luti
on
fa
bri
ca
tio
n
of
surf
ace
re
lie
f st
ruc
ture
s b
y
con
-tr
ac
tiv
e
sca
lin
g
of
na
no
po
rou
s m
on
oli
ths
A. P
ap
ad
em
etr
iou
, Nik
ola
os
Vain
os,
K.
Pap
ach
rist
op
ou
lou
, V. K
aro
uts
os
Un
ivers
ity
Of
Pat
ras,
De
pt
Mat
eri
als
Sci
en
ce,
Pat
ras,
Gre
ece
C3
-1_
INV
Pri
nte
d
fle
xib
le
ele
ctr
on
ics
for
we
ara
ble
ap
pli
ca
tio
ns
Zh
en
g C
ui
Su
zho
u In
stit
ute
of
Nan
ote
chn
olo
gy,
Ch
inese
A
cad
em
y o
f S
cien
ces,
Ch
ina
D3
-1A
me
tho
d f
or
the
mu
ltip
le d
ire
ct
ima
gin
g b
y T
EM
, A
FM
, a
nd
SE
RS
o
f io
n c
ha
nn
els
on
pla
sma
me
m-
bra
ne
s su
spe
nd
ed
o
n
sup
er-
hy
-d
rop
ho
bic
su
rfa
ces
Man
ola
Mo
rett
i1 , Tan
ia L
imo
ng
i2, M
ari
a
Te
resa
De A
ng
elis
3, E
lvir
a Ι
. Parr
ott
a3,
Gia
nlu
ca S
an
tam
ari
a3, M
arc
o A
llio
ne
1 , S
erg
ei L
op
ati
n4, B
run
o T
orr
e1 ,
Pe
ng
Z
han
g1 ,
An
dre
a G
iug
ni1 ,
Mo
nic
a M
ari
ni2
, A
ng
elic
a B
igo
5, P
atr
izio
Can
de
loro
6,
Gio
van
ni C
ud
a3, E
nzo
Di F
ab
rizi
o1
1 Kin
g
Ab
du
llah
U
niv
ers
ity
of
Sci
en
ce
an
d
Tech
no
log
y,
SM
ILE
s L
ab
, Je
dd
ah
, S
au
di
Ara
bia
, 2D
ipart
imen
to d
i S
cien
za A
pp
licat
a e Te
cno
log
ia,
Po
lite
cnic
o d
i To
rin
o,
Tori
no
, It
aly
, 3L
ab
ora
tory
of
Ste
m C
ell
Bio
log
y, D
e-
part
men
t o
f E
xperi
men
tal
an
d C
linic
al
Me
d-
icin
e,
Un
ivers
ity
Mag
na
Gra
eci
a,
Cat
an
zaro
, It
aly
, 4K
ing
A
bd
ulla
h
Un
ivers
ity
of
Sci
en
ce
an
d T
ech
no
log
y, I
mag
ing
an
d C
hara
cteri
za-
tio
n C
ore
lab
, T
hu
wal-
Jed
dah
, S
au
di
Ara
bia
, 5D
ipart
imen
to
di
Sci
en
ze
Ag
roA
limen
tari
, A
mb
ien
tali
e
An
imali,
U
niv
ers
ità
di
Ud
ine
, U
din
e, I
taly
, 6B
ION
EM
lab
, De
part
men
t o
f E
x-p
eri
men
tal
an
d C
linic
al
Me
dic
ine
, U
niv
ers
ity
Mag
na
Gra
eci
a, C
atan
zaro
, Ita
ly
TUESD
AY S
EPTEM
BER 2
4TH, 2019
32
Sci
entifi
c P
rog
ram
TUESD
AY S
EPTEM
BER 2
4th, 2019
18:1
5A
3-2
Mo
de
lin
g
the
R
eso
luti
on
L
imit
s o
f S
ca
nn
ing
Ele
ctr
on
Mic
rosc
op
e
Ro
ug
hn
ess
Me
tro
log
yC
hri
s M
ack
Fra
ctili
a, L
LC, A
ust
in, U
nit
ed
Sta
tes
B3
-2S
ub
-15
n
m
mu
ltil
ay
er
na
no
pil
lar
pa
tte
rnin
g
for
hy
bri
d
SE
T/C
MO
S
inte
gra
tio
nM
ari
e-L
ine P
ou
rte
au
1 , A
hm
ed
Gh
arb
i1 , P
ierr
e B
rian
ce
au
1 , Jacq
ue
s-A
lexan
dre
D
alle
ry2, F
ab
ien
Lau
lag
net1 ,
Gu
ido
R
ad
em
ake
r1 , R
alu
ca T
iro
n1 ,
Jo
han
ne
s vo
n B
ora
ny3
, Karl
-He
inz
He
inig
3,
Han
s-Ju
erg
en
En
ge
lman
n3, M
ath
ias
Ro
mm
el4
, Le
an
de
r B
aie
r4, W
ilfri
ed
L
erc
h5, J
ürg
en
Nie
ss5
1 CE
A-L
ET
I, F
-38
05
4 G
ren
ob
le,
Fra
nce
, 2V
is-
tec
Ele
ctro
n
Beam
G
mb
H,
D-0
774
3
Jen
a,
Germ
an
y, 3
Helm
ho
ltz-
Zen
tru
m D
resd
en
-Ro
s-se
nd
orf
, D-0
132
8 D
resd
en
, Germ
an
y, 4
Fra
un
-h
ofe
r IIS
B, D
-910
58
Erl
an
gen
, G
erm
an
y, 5
HQ
D
iele
ctri
cs
Gm
bH
, D
-89
160
Do
rnst
ad
t, G
er -
man
y
D3
-2M
icro
wa
ve
ra
da
rs
in
un
lab
ell
ed
, n
on
-de
stru
cti
ve
Ce
ll d
ete
cti
on
Ard
a S
ecm
e1 ,
Dila
ra U
slu
1 , Tu
fan
E
rdo
gan
1 , H
ad
i Se
dag
hat
Pis
he
h1 ,
M.
Se
lim H
an
ay1,
2
1 De
part
men
t o
f M
ech
an
ical
En
gin
eeri
ng
, B
ilken
t U
niv
ers
ity,
AN
KA
RA
, Tu
rkey,
2N
atio
n-
al N
an
ote
chn
olo
gy
Rese
arc
h C
en
ter
(UN
AM
),
Bilk
en
t U
niv
ers
ity,
AN
KA
RA
, Tü
rkiy
e
18:3
0A
3-3
A V
ali
da
tio
n o
f U
V I
mp
rin
t P
roce
ss
Sim
ula
tio
n u
sin
g a
Th
erm
o-v
isco
-e
last
ic C
on
stit
uti
ve
Mo
de
lR
yu
no
suke
Yam
ash
ita1 ,
Yu
ki O
nis
hi1 ,
Ke
nji
Am
aya1 ,
Yo
shih
iko
Hir
ai2
1 To
kyo
Inst
itu
te O
f Te
chn
olo
gy,
To
kyo
, Jap
an
, 2O
saka
Pre
fect
ure
Un
ivers
ity,
Osa
ka, J
ap
an
B3
-3T
ime
-effi
cie
nt
fab
ric
ati
on
of
Sie
r-p
ińsk
i-fr
ac
tal
bo
w-t
ie
na
no
stru
c-tu
res
wit
h
a
focu
sed
h
eli
um
io
n
be
am
an
d t
he
ir s
pe
ctr
al c
ha
rac
ter-
iza
tio
nF
lori
an
Laib
le, L
isa S
eit
l, S
imo
n
Dic
kre
ute
r, D
iete
r P
. Ke
rn, M
on
ika
Fle
isch
er
Un
ivers
ity
of
Tü
bin
gen
In
stit
ute
fo
r A
pp
lied
P
hys
ics,
Tü
bin
gen
, Germ
an
y
C3
-2O
rmo
com
p-B
ase
d P
rin
ted
Cir
cuit
B
oa
rd
Te
chn
olo
gy
fo
r B
od
y-I
m-
pla
nta
ble
Ap
pli
ca
tio
ns
Gia
nm
ari
o S
co
tti,
Su
e-Y
uan
Fan
, Yi
Ch
iuN
atio
nal C
hia
o T
un
g U
niv
ers
ity,
Hsi
n C
hu
, Tai-
wan
D3
-3E
xtr
ace
llu
lar
ma
trix
pro
tein
mic
ro-
pa
tte
rnin
g
tech
no
log
y
for
wh
ole
ce
ll c
ryo
ge
nic
ele
ctr
on
mic
rosc
o-
py
stu
die
sL
eeya E
ng
el1 ,
Gu
ido
Gae
itta
2, L
iam
P.
Do
w1,
3, M
ark
F. S
wif
t2, G
asp
ard
Pard
on
1 , N
iels
Vo
lkm
an
n2, W
illia
m I
. We
is4, D
ori
t H
an
ein
2, B
eth
L. P
ruit
t1,3
1 De
part
men
t o
f B
ioen
gin
eeri
ng
, S
tan
ford
U
niv
ers
ity,
S
tan
ford
, U
SA
, 2Im
mu
nit
y an
d
Pat
ho
gen
esi
s P
rog
ram
, S
an
ford
B
urn
ham
P
reb
ys M
ed
ical
Dis
cove
ry I
nst
itu
te,
La
Jolla
, U
SA
, 3D
ep
art
men
ts o
f M
ech
an
ical
En
gin
eer-
ing
an
d M
ole
cula
r, C
ellu
lar, a
nd
Deve
lop
men
-ta
l B
iolo
gy,
U
niv
ers
ity
of
Calif
orn
ia,
San
ta
Barb
ara
, S
an
ta B
arb
ara
, U
SA
, 4D
ep
art
men
ts
of
Str
uct
ura
l B
iolo
gy
an
d M
ole
cula
r C
ellu
lar
Ph
ysio
log
y,
Sta
nfo
rd
Un
ivers
ity
Sch
oo
l o
f M
ed
icin
e, S
tan
ford
, US
A
33
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
TUESD
AY S
EPTEM
BER 2
4TH, 2019
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
3:
MO
DELIN
G &
METRO
LO
GY
Ch
air
s: D
. M
aas,
A. V
ekin
is
SESSIO
N B
3:
NAN
OFABRIC
ATIO
N &
N
AN
OD
EVIC
ES
Ch
air
s: T
. E
rnst
, N
. V
ain
os
SESSIO
N C
3:
FLEXIB
LE &
WEAR
ABLES
Ch
air
s: J
.-W
. C
ho
i, E
. M
akaro
na
SESSIO
N D
3:
CELL &
ORG
AN
-O
N-C
HIP
IC
hair
s: S
. F
ran
ssila
, M
. K
itsa
ra
18:4
5Α
3-4
Mo
de
lin
g,
mo
nit
ori
ng
a
nd
fu
ture
p
roje
cti
on
of
sto
cha
stic
de
fec
ts i
n
EU
V l
ith
og
rap
hy
Hir
osh
i Fu
ku
da
Hit
ach
i H
igh
-Te
chn
olo
gie
s C
orp
ora
tio
n,
To-
kyo
, Jap
an
B3
-4P
ath
wa
ys
to l
ase
r g
en
era
ted
na
no
p
att
ern
s fo
r fu
nc
tio
na
l su
rfa
ces
L. G
em
ini,
Rain
er
Klin
g, G
. Min
cu
zzi
, M.
Fau
ço
nA
lph
an
ov,
Tale
nce
, Fra
nce
C3
-3C
ell
co
mp
ati
bil
ity
st
ud
y
of
SU
-8
mic
ron
ee
dle
s b
ase
d w
ea
rab
le d
ry
ele
ctr
od
es
for
ele
ctr
oe
nce
ph
alo
-g
ram
G. K
akla
man
i1 , G
. Sta
vri
nid
is1 ,
K. M
ich
ela
kis
3, V
. Ko
nto
mit
rou
1 , K
. Tsa
gara
ki1 ,
N. K
orn
ilio
s2, G
. K
on
stan
tin
idis
1
1 Mic
roele
ctro
nic
s R
ese
arc
h
Gro
up
, IE
SL-
FO
RT
H,
Vass
ilika
Vu
ton
, H
era
klio
n,
Gre
ece
, 2G
ree
k M
ed
iterr
an
ean
Un
ivers
ity,
Est
avro
m-
en
os,
H
era
klio
n,
Gre
ece
, 3S
tew
art
B
luss
on
Q
uan
tum
Mat
ter
Inst
itu
te,
Th
e U
niv
ers
ity
of
Bri
tish
Co
lum
bia
, V
an
cou
ver
Cam
pu
s, V
an
-co
uve
r B
C, C
an
ad
a
D3
-4P
oly
me
r m
icro
flu
idic
ch
ip w
ith
in
-te
gra
ted
th
erm
ofo
rme
d m
icro
ca
v-
ity
arr
ay
fo
r e
xp
osu
re o
f 3
D c
ell
a
gg
reg
ate
s to
gra
die
nts
of
solu
ble
fa
cto
rsP
hili
pp
Mau
rer, M
ire
ille S
thijn
s, J
asi
a
Kin
g, T
imo
Rad
em
ake
rs, P
am
ela
H
ab
ibo
vić
, Cle
me
ns
van
Blit
ters
wijk
, V
an
ess
a L
aP
oin
te, S
tefa
n G
ise
lbre
ch
t,
Ro
man
Tru
cke
nm
ülle
rM
ER
LN
In
stit
ute
fo
r Te
chn
olo
gy-
Insp
ire
d R
e-
gen
era
tive
M
ed
icin
e,
Maast
rich
t U
niv
ers
ity,
M
aast
rich
t, N
eth
erl
an
ds
34
Sci
entifi
c P
rog
ram
WEDN
ESD
AY, SEPTEM
BER 2
5TH, 2019
JU
PIT
ER
HA
LL
Ch
air
s: E
. G
og
olid
es,
M d
e V
itto
rio
08
:45
-09
:30
P
len
ary
4In
tell
ige
nt
an
d p
rec
ise
flo
w c
on
tro
l fo
r n
ex
t-g
en
era
tio
n m
icro
flu
idic
PO
C d
iag
no
stic
sE
mm
an
ue
l D
ela
marc
he
IBM
Rese
arc
h Z
uri
ch
, Sw
itze
rlan
d
09
:30
-10
:15
P
len
ary
5T
he
Re
vo
luti
on
of
Sil
ico
n P
ho
ton
ics
Mic
hal L
ipso
nC
olu
mb
ia U
niv
ers
ity,
US
A
10:1
5-1
0:4
5C
OF
FE
E B
RE
AK
35
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
4:
3D L
ITH
OG
RAPH
IES
Ch
air
s: C
. V
ieu
, A
. O
lzie
rsky
SESSIO
N B
4:
WETTIN
G II
Ch
air
s: A
. Tse
rep
i, A
. M
ilio
nis
SESSIO
N C
4:
PH
YSIC
AL S
EN
SO
RS
Ch
air
s: F
. M
ura
no
, P. D
imit
rakis
SESSIO
N D
4:
LAB-O
N A
CH
IP T
ECH
NO
LO
GIE
SC
hair
s: S
. P
an
g, S
. P
ark
10:4
5A
4-1
Em
erg
en
t M
ag
ne
tic
Mo
no
po
les
in
a M
acr
osc
op
ica
lly
De
ge
ne
rate
3D
A
rtifi
cia
l Sp
in I
ceS
co
tt D
hu
ey
1 , A
lan
Farh
an
2,7, C
harl
ott
e
Pete
rse
n3, M
ich
ae
l Sacco
ne
4, N
oah
K
en
t4, R
aje
sh C
ho
pd
ekar2
, Ye
n-L
in
Hu
an
g5, Z
uh
uan
g C
he
n5, M
ikko
J.
Ala
va
3, T
ho
mas
Lip
pe
rt7,
8, A
nd
reas
Sch
oll2
, Se
bast
iaan
van
Dijk
en
6
1 Mo
lecu
lar
Fo
un
dry
, Law
ren
ce B
erke
ley
Nat
ional
La
bo
rato
ry, B
erke
ley,
Un
ited
Sta
tes,
2A
dva
nce
d
Lig
ht S
ou
rce,
Law
ren
ce B
erke
ley
Nat
ional
Lab
-o
rato
ry, B
erke
ley,
Un
ited
Sta
tes,
3C
OM
P C
entr
e o
f E
xcel
len
ce,
Dep
artm
ent
of
Ap
plie
d P
hysi
cs,
Aal
to
Un
iver
sity
, A
alto
, F
inla
nd
, 4P
hysi
cs
De-
par
tmen
t, U
niv
ersi
ty o
f C
alifo
rnia
- S
anta
Cru
z,
San
ta C
ruz,
US
A, 5
Dep
t o
f M
ater
ials
Sci
ence
an
d
Eng
inee
ring
, U
niv
ersi
ty o
f C
alifo
rnia
- B
erke
ley,
B
erke
ley,
Un
ited
Sta
tes,
6N
ano
spin
, Dep
t. o
f A
p-
plie
d P
hysi
cs,
Aal
to U
niv
ersi
ty,
Aal
to,
Fin
lan
d,
7 Lab
fo
r M
ult
isca
le M
ater
ials
Exp
erim
ents
, P
aul
Sch
erre
r In
stit
ute, V
illig
en, S
wit
zerl
and
, 8D
ept
of
Ch
emis
try
and
Ap
plie
d B
iosc
ien
ces,
Lab
of
Ino
r-g
anic
Ch
emis
try,
ET
H Z
uri
ch, Z
uri
ch, S
wit
zerl
and
B4
-1_
INV
We
tta
bil
ity
-Pa
tte
rne
d
Su
rfa
ces
for
Pu
mp
less
H
an
dli
ng
o
f F
luid
M
icro
vo
lum
es:
L
ab
-on
-Ch
ip
an
d
He
at
Tra
nsf
er
Ap
pli
ca
tio
ns
Co
nst
an
tin
e M
eg
ari
dis
Un
ivers
ity
of
Illin
ois
at
Ch
icag
o
C4
-1S
usp
en
de
d
intr
ace
llu
lar
pre
ssu
re
sen
sor
wit
h a
re
fere
nce
ca
vit
yM
arí
a I
sab
el A
rjo
na1,
2, A
na S
an
ch
ez1 ,
Mart
a D
uch
1 , R
od
rig
o G
óm
ez-
Mart
ínez1 ,
Te
resa
Su
áre
z3, J
ose
An
ton
io P
laza1
1 Inst
itu
to D
e M
icro
ele
ctró
nic
a D
e B
arc
elo
na
(IM
B-C
NM
CS
IC),
Cerd
an
yola
Del V
alle
s (B
ar -
celo
na)
, Sp
ain
, 2D
ep
art
am
en
to d
e E
lect
rón
ica
y Te
cno
log
ía d
e lo
s C
om
pu
tad
ore
s, F
acu
ltad
d
e C
ien
cias,
Un
ivers
idad
de G
ran
ad
a, G
ran
a-
da
, Sp
ain
, 3C
en
tro
de In
vest
igaci
on
es
Bio
lóg
i-ca
s (C
IB)
CS
IC, M
ad
rid
, Sp
ain
D4
-1A
No
ve
l M
icro
Fre
e-F
low
Ele
ctr
o-
ph
ore
sis
3D
pri
nte
d L
ab
on
a C
hip
fo
r e
xoso
me
s se
pa
rati
on
Fe
de
rica B
arb
are
sco
1 , M
att
eo
C
ocu
zza1,
2, F
ab
rizi
o C
an
did
o P
irri
1 , S
imo
ne L
uig
i Mara
sso
1,2
1 Po
lite
cnic
o
Di
Tori
no
, To
rin
o,
Italy
, 2C
NR
-IM
EM
, Parm
a, I
taly
WEDN
ESD
AY, SEPTEM
BER 2
5TH, 2019
36
Sci
entifi
c P
rog
ram
WEDN
ESD
AY S
EPTEM
BER 2
5th, 2019
11:0
0A
4-2
Fa
bri
ca
tio
n o
f 3
D s
ca
ffo
lds
rep
ro-
du
cin
g
inte
stin
al
ep
ith
eli
um
to
-p
og
rap
hy
b
y
hig
h-r
eso
luti
on
3
D
ste
reo
lith
og
rap
hy
Ju
stin
e C
reff
1,2, R
ém
i Co
urs
on
1 , T
ho
mas
Man
ge
at2
, Ju
lie F
on
cy
1 , S
an
dri
ne
So
ule
ille
1 , C
hri
sto
ph
e T
hib
au
lt1 ,
Arn
au
d
Be
sso
n2, L
au
ren
t M
ala
qu
in1
1 LA
AS
C
NR
S,
Tou
lou
se,
Fra
nce
, 2L
BC
MC
P,
Tou
lou
se, F
ran
ce
C4
-2M
icro
fab
ric
ati
on
of
a M
EM
S a
cce
l-e
rom
ete
r w
ith
tw
o-t
hic
k f
un
cti
on
-a
l la
ye
rsIn
ês
So
fia G
arc
ia1 ,
Eu
rico
E. M
ore
ira1,
2,
Ro
san
a A
. Dia
s1 , Jo
ão
Gasp
ar1 ,
Fili
pe S
. A
lve
s1 , L
uis
Ale
xan
dre
Ro
ch
a1,2
1 INL -
In
tern
atio
nal
Iberi
an
Nan
ote
chn
olo
gy
Lab
ora
tory
, B
rag
a,
Po
rtu
gal,
2C
ME
MS
-UM
in-
ho
, Gu
imarã
es,
Po
rtu
gal
D4
-2L
oa
din
g o
f b
iod
eg
rad
ab
le m
icro
-co
nta
ine
rs
wit
h
bu
de
son
ide
fo
r lo
ca
l tr
ea
tme
nt
of
infl
am
ma
tory
b
ow
el d
ise
ase
Z
arm
ee
na A
bid
1,2, F
ran
ce
sca
An
dre
oli1,
2, M
aja
Nø
rgaard
Kri
ste
nse
n1,
3,
Rit
ika S
ing
h P
ete
rse
n1,
2, A
nett
e
Mü
llert
z1,3, A
nja
Bo
ise
n1,
4, S
tep
han
S
ylv
est
Ke
ller1,
2
1 Th
e
Dan
ish
N
atio
nal
Rese
arc
h
Fo
un
dat
ion
an
d V
illu
m F
ou
nd
atio
n’s
Cen
ter
for
Inte
llig
en
t D
rug
Deliv
ery
an
d S
en
sin
g U
sin
g M
icro
con
-ta
iners
an
d
Nan
om
ech
an
ics
(ID
UN
),
Kg
s.
Lyn
gb
y, D
en
mark
, 2N
atio
nal
Cen
tre f
or
Nan
o
Fab
rica
tio
n a
nd
Ch
ara
cteri
zati
on
, DT
U N
an
o-
lab
, Te
chn
ical
Un
ivers
ity
of
Den
mark
, K
gs.
Ly
ng
by,
Den
mark
, 3D
ep
art
men
t o
f P
harm
acy
, F
acu
lty
of
Healt
h a
nd
Me
dic
al S
cien
ces,
Un
i -ve
rsit
y o
f C
op
en
hag
en
, 2
100
C
op
en
hag
en
, D
en
mark
, 4D
ep
art
men
t o
f H
ealt
h T
ech
no
lo-
gy,
DT
U H
ealt
h T
ech
, Te
chn
ical
Un
ivers
ity
of
Den
mark
, Kg
s. L
yng
by,
Den
mark
37
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
WEDN
ESD
AY S
EPTEM
BER 2
5TH, 2019
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
4:
3D L
ITH
OG
RAPH
IES
Ch
air
s: C
. V
ieu
, A
. O
lzie
rsky
SESSIO
N B
4:
WETTIN
G II
Ch
air
s: A
. Tse
rep
i, A
. M
ilio
nis
SESSIO
N C
4:
PH
YSIC
AL S
EN
SO
RS
Ch
air
s: F
. M
ura
no
, P. D
imit
rakis
SESSIO
N D
4:
LAB-O
N A
CH
IP T
ECH
NO
LO
GIE
SC
hair
s: S
. P
an
g, S
. P
ark
11:1
5A
4-3
No
ve
l a
nd
v
ers
ati
le
pro
toty
pin
g
rou
tes
for
po
lym
eri
c h
yb
rid
a
nd
b
ico
nv
ex
mic
ro-o
pti
cs
Jo
han
ne
s W
olf
1 , S
usa
nn
e G
rütz
ne
r1 , M
arg
it F
ers
tl2, M
ark
o V
og
ler1 ,
Jan
Jasp
er
Kle
in1 ,
An
ja V
oig
t1 , M
an
ue
l T
he
sen
1 , A
nett
Ko
lan
de
r1 , M
ark
us
Gu
ttm
an
n3, M
ad
ele
ine N
uck
2, A
rne
Sch
leu
nit
z1 , G
ab
i Grü
tzn
er1
1 Μic
ro r
esi
st t
ech
no
log
y G
mb
H,
Berl
in,
Ger-
man
y,
2F
rau
nh
ofe
r H
ein
rich
H
ert
z In
stit
ute
, H
HI,
Berl
in,
Germ
an
y, 3
Karl
sru
he I
nst
itu
te o
f Te
chn
olo
gy,
Karl
sru
he
, Germ
an
y
B4
-2T
ow
ard
s lo
ng
-la
stin
g u
nd
erw
ate
r su
pe
rhy
dro
ph
ob
icit
y o
f m
icro
-na
-n
o
tex
ture
d
surf
ace
s:
Pla
stro
n
thic
kn
ess
ob
serv
ati
on
usi
ng
wh
ite
li
gh
t re
fle
cta
nce
sp
ec
tro
sco
py
Ath
an
asi
os
Sm
yrn
akis
, Dim
ost
he
nis
Io
an
no
u, K
osm
as
Elli
nas,
Ag
ge
liki
Tse
rep
i, E
van
ge
los
Go
go
lide
sIn
stit
ute
of
Nan
osc
ien
ce a
nd
Nan
ote
chn
ol-
og
y,
NC
SR
“D
em
okr
ito
s”,
Ag
ia
Para
skevi
, G
ree
ce
C4
-3S
imp
le f
ab
ric
ati
on
of
hig
hly
se
n-
siti
ve
ca
pa
citi
ve
pre
ssu
re s
en
sors
u
sin
g
a
po
rou
s d
iele
ctr
ic
lay
er
wit
h c
on
e-s
ha
pe
d p
att
ern
sY
eo
ng
jun
Kim
, Ju
wo
n H
wan
g, J
e H
oo
n
Oh
De
part
men
t o
f M
ech
an
ical
En
gin
eeri
ng
, H
a-
nya
ng
Un
ivers
ity,
An
san
,So
uth
Ko
rea
D4
-3_
INV
Aco
ust
ofl
uid
ics
- A
so
un
d
ap
-p
roa
ch t
o l
iqu
id b
iop
sie
sT
ho
mas
Lau
rell
Lu
nd
Un
ivers
ity,
Sw
ed
en
11:3
0A
4-4
_IN
VL
ase
r-b
ase
d 3
D p
rin
tin
g a
t th
e n
a-
no
sca
leM
ari
a F
ars
ari
F
ou
nd
atio
n f
or
Rese
arc
h &
Te
chn
olo
gy
Hel-
las,
Gre
ece
B4
-3B
iom
ime
tic
arc
hit
ec
ture
s fo
r e
n-
tra
pp
ing
a
ir
un
de
rwa
ter
usi
ng
w
ett
ing
ma
teri
als
Ratu
l Das,
San
kara
Aru
nach
ala
m,
Zain
Ah
mad
, Jam
ilya N
au
ruzb
ayeva
, H
iman
shu
Mis
hra
Kin
g
Ab
du
llah
U
niv
ers
ity
of
Sci
en
ce
an
d
Tech
no
log
y (K
AU
ST
),
Wat
er
Desa
linat
ion
an
d R
eu
se C
en
ter
(WD
RC
), T
hu
wal,
Sau
di
Ara
bia
C4
-4T
he
e
ffe
ct
of
cra
cke
d
alu
min
a
sub
stra
te
on
h
igh
se
nsi
tiv
e
Pt
na
no
pa
rtic
les
stra
in s
en
sor
Evan
ge
los
Asl
an
idis
1 , L
am
pro
s P
ats
iou
ras1 ,
Evan
ge
los
Sko
tad
is1 ,
Ko
nst
an
tin
os
Gia
nn
ako
po
ulo
s2, D
imit
ris
Tso
ukala
s1
1 Nat
ion
al T
ech
nic
al U
niv
erc
ity
of
Ath
en
s, A
th-
en
s,
Gre
ece
, 2N
CS
R
Dem
okr
ito
s,
AT
HE
NS
, G
ree
ce
11:4
5B
4-4
En
gin
ee
rin
g
of
na
no
stru
ctu
red
p
oly
me
r su
rfa
ces
wit
h
en
ha
nce
d
we
ttin
g p
rop
ert
ies
Rafa
el T
ab
ory
ski,
Nik
ola
j Man
dsb
erg
, A
gn
iesz
ka T
ele
cka
, Nast
asi
a O
ku
lova
, E
mil
Lu
dvig
sen
Tech
nic
al U
niv
ers
ity
of
Den
mark
, Kg
s Ly
ng
by,
D
en
mark
C4
-5D
ev
elo
pm
en
t o
f M
icro
sca
le M
ag
-n
eti
c A
ctu
ato
rsJiz
hai C
ui1,
2, P
ao
lo T
est
a1,2, A
nja
W
eb
er1,
2, L
au
ra J
. Heyd
erm
an
1,2
1 Lab
ora
tory
fo
r M
eso
sco
pic
Sys
tem
s, D
ep
art
-m
en
t o
f M
ateri
als
, E
TH
Zu
rich
, Z
uri
ch,
Sw
it-
zerl
an
d,
2L
ab
ora
bo
ry f
or
Mu
ltis
cale
Mat
eri
als
E
xperi
men
ts, P
au
l Sch
err
er
Inst
itu
te, V
illig
en
, S
wit
zerl
an
d
D4
-4Im
pri
nte
d n
an
op
art
icle
s w
ith
ma
g-
ne
tic
an
d p
lasm
on
-op
tic
al p
rop
er-
tie
s fo
r b
iom
ole
cula
r d
iag
no
stic
sA
stri
t S
ho
shi1 ,
Mic
hae
l -J. H
asl
ing
er2
, T
ina M
itte
ram
sko
gle
r2, M
ich
ae
l M
üh
lbe
rge
r2, S
tefa
n S
ch
ritt
wie
ser3
, Jö
rg S
ch
ott
er3
, Hu
be
rt B
rückl1
1 De
part
men
t fo
r In
teg
rate
d S
en
sor
Sys
tem
s,
Dan
ub
e
Un
ivers
ity
Kre
ms,
2P
RO
FA
CT
OR
G
mb
H, 3
AIT
Au
stri
an
In
stit
ute
of
Tech
no
log
y
38
Sci
entifi
c P
rog
ram
WEDN
ESD
AY S
EPTEM
BER 2
5th, 2019
12:0
0-1
3:0
0L
UN
CH
BR
EA
K
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
5:
NO
VEL P
ATTERN
ING
APPLIC
ATIO
NS
Chai
rs: J
F d
e M
arnef
fe, V
. Const
anto
ud
is
SESSIO
N B
5:
IND
US
TRIA
LC
hair
s: R
. Tab
ory
ski,
A. M
ilio
nis
SESSIO
N C
5:
EN
ERG
Y H
AR
VES
TIN
G
DEVIC
ES
Ch
air
s: C
. Tsa
mis
, G
. M
uri
llo
SESSIO
N D
5:
CELLS &
ORG
AN
-ON
-CH
IP II
Ch
air
s: R
. L
utt
ge
, JM
. Q
ue
ro
13:0
0A
5-1
Fa
bri
ca
tio
n o
f 3
D a
nis
otr
op
ic d
ry
ad
he
siv
e
mic
rost
ruc
ture
s b
ase
d
on
2P
P f
or
ap
pli
ca
tio
n i
n s
pa
ceJan
F. B
usc
he
, Ge
reo
n S
tark
e, S
ask
ia
Kn
ickm
eie
r, A
nd
reas
Die
tze
Inst
itu
te o
f M
icro
tech
no
log
y, T
U B
rau
nsc
h-
weig
, Bra
un
sch
weig
, Germ
an
y
B5
-1_
INV
Pa
tte
rne
d
Str
uc
ture
s a
nd
N
an
ol-
am
ina
te
Hy
bri
d
Arc
hit
ec
ture
s fr
om
Pla
nt-
sou
rce
d N
an
oce
llu
lose
fo
r O
pto
ele
ctr
on
ics
Te
kla
Tam
me
lin
VT
T T
ech
nic
al R
ese
arc
h C
en
ter, F
inla
nd
C5
-1E
nh
an
ced
re
spo
nsi
vit
y o
f P
N j
un
c-ti
on
so
lar
cell
s th
rou
gh
gra
ph
en
e
mo
difi
ca
tio
n l
ay
er
Bo
Fe
ng
,Tia
ny
u W
an
g, J
ing
yu
an
Zh
u,
Yif
an
g C
he
nF
ud
an
Un
ivers
ity,
Sh
an
gh
ai,
Ch
ina
D5
-1In
flu
en
ce o
f 3
D m
icro
en
vir
on
me
nt
on
ca
nce
r ce
lls
gro
wth
an
d i
nv
a-
sio
nS
ara
Se
rgio
1,2, A
dd
olo
rata
Mari
a L
uce
Co
luccia
2, E
nri
co
Do
me
nic
o L
em
ma
3,
Barb
ara
Sp
ag
no
lo1 ,
Dan
iele
Ve
rgara
2,4,
Mic
he
le M
affi
a2
,4, M
ass
imo
De
Vit
tori
o1,
5, F
err
uccio
Pis
an
ello
1
1 Isti
tuto
Italia
no
di T
ecn
olo
gia
, Cen
ter
for
Bio
-m
ole
cula
r N
an
ote
chn
olo
gie
s, A
rnesa
no
, Ita
ly,
2D
ipart
imen
to d
i S
cien
ze e
Te
cno
log
ie B
io-
log
ich
e e
Am
bie
nta
li, U
niv
ers
ità
del
Sale
nto
, Le
cce
, Ita
ly, 3
Karl
sru
her
Inst
itu
t fü
r Te
chn
olo
-g
ie, Z
oo
log
isch
es
Inst
itu
t, A
bte
ilun
g Z
ell-
un
d
Neu
rob
iolo
gie
, K
arl
sru
he
, Germ
an
y, 4
Lab
ora
-to
ry o
f C
linic
al
Pro
teo
mic
, G
iova
nn
i P
ao
lo I
I H
osp
ital,
Le
cce
, Ita
ly, 5
Dip
art
imen
to d
i In
geg
-n
eri
a d
ell’
Inn
ova
zio
ne
, Un
ivers
ità
del S
ale
nto
, Le
cce
, Ita
ly
13:1
5A
5-2
Cle
an
roo
m i
n a
n S
EM
: in
-sit
u p
at-
tern
tra
nsf
er
Gau
dh
am
an
Je
evan
an
dam
, Ru
ud
van
To
l, Y
ou
p v
an
Go
oze
n, P
iete
r K
ruit
, C
orn
elis
W. H
ag
en
Ch
arg
ed
Part
icle
Op
tics
gro
up
, D
ep
t. I
mag
-in
g P
hys
ics,
Delf
t U
niv
ers
ity
of
Tech
no
log
y,
Delf
t, N
eth
erl
an
ds
C5
-2P
iezo
ele
ctr
ic A
lN-b
ase
d fi
be
r-o
p-
tic
de
vic
es
for
sen
sin
g a
nd
en
erg
y
ha
rve
stin
gM
ass
imo
Mari
ello
1,2, F
ran
ce
sco
Gu
ido
2,
Lu
cia
na A
lgie
ri3, V
ince
nzo
Mari
an
o
Mast
ron
ard
i2, F
ran
ce
sco
Riz
zi2, A
nto
nio
Q
ualt
ieri
2, F
err
uccio
Pis
an
ello
2,
Mass
imo
De V
itto
rio
1,2
1 Dip
art
imen
to d
i In
geg
neri
a d
ell’
Inn
ova
zio
ne
, U
niv
ers
ità
del
Sale
nto
, Le
cce
, It
aly
, 2C
en
ter
for
Bio
mo
lecu
lar
Nan
ote
chn
olo
gie
s, I
stit
uto
It
alia
no
di Te
cno
log
ia, A
rnesa
no
, Le
cce
, Ita
ly,
3P
iezo
skin
S.r
.l, A
rnesa
no
, Le
cce
, Ita
ly
D5
-2B
iom
ime
tic
ali
gn
ed
n
an
ofi
bro
us
PV
DF
sc
aff
old
s fo
r c
ard
iac
tiss
ue
e
ng
ine
eri
ng
Mari
a K
itsa
ra1 ,
Ch
au
Le
1 , A
lexan
dre
S
imo
n1 ,
On
nik
Ag
bu
lut1 ,
Vin
ce
nt
Hu
blo
t2, G
aë
lle R
evet1 ,
Th
ierr
y D
ufo
ur3
1 So
rbo
nn
e U
niv
ers
ité
, In
stit
ut
de B
iolo
gie
Par-
is-S
ein
e, P
ari
s, F
ran
ce, 2
So
rbo
nn
e U
niv
ers
ité
, L
ab
ora
toir
e d
e R
éact
ivit
é d
e S
urf
ace
U
MR
C
NR
S,
Pari
s, F
ran
ce,
3S
orb
on
ne U
niv
ers
ité
, L
ab
ora
toir
e d
e P
hys
iqu
e d
es
Pla
smas,
UM
R
CN
RS
, P
ari
s, F
ran
ce
39
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
WEDN
ESD
AY S
EPTEM
BER 2
5TH, 2019
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
5:
NO
VEL P
ATTERN
ING
APPLIC
ATIO
NS
Chai
rs: J
F d
e M
arnef
fe, V
. Const
anto
ud
is
SESSIO
N B
5:
IND
US
TRIA
LC
hair
s: R
. Tab
ory
ski,
A. M
ilio
nis
SESSIO
N C
5:
EN
ERG
Y H
AR
VES
TIN
G
DEVIC
ES
Ch
air
s: C
. Tsa
mis
, G
. M
uri
llo
SESSIO
N D
5:
CELLS &
ORG
AN
-ON
-CH
IP II
Ch
air
s: R
. L
utt
ge
, JM
. Q
ue
ro
13:3
0A
5-3
Pro
tein
A
my
loid
F
ibri
ls
Fo
rma
-ti
on
an
d G
row
th i
n D
rop
let
wit
h
Co
nfi
ne
d C
on
ve
cti
on
Flo
w o
n S
u-
pe
r-h
yd
rop
ho
bic
Su
rfa
ceP
en
g Z
han
g1 ,
Man
ola
Mo
rett
i1 , M
arc
o
Alli
on
e1 ,
Javie
r O
rdo
nezl
oza
2, M
an
i S
ara
thy
2, E
nzo
Di F
ab
rizi
o1
1 SM
ILE
s L
ab
, P
hys
ical
Sci
en
ce a
nd
En
gin
eer-
ing
(P
SE
) an
d B
iolo
gic
al
an
d E
nvi
ron
men
tal
Sci
en
ce an
d E
ng
ine
eri
ng
(B
ES
E)
Div
isio
ns,
K
ing
A
bd
ulla
h
Un
ivers
ity
Of
Sci
en
ce
An
d
Tech
no
log
y,
Th
uw
al,
Sau
di
Ara
bia
, 2C
lean
C
om
bu
stio
n R
ese
arc
h C
en
ter, P
hys
ical
Sci
-e
nce
an
d E
ng
ine
eri
ng
(P
SE
) D
ivis
ion
, K
ing
A
bd
ulla
h U
niv
ers
ity
of
Sci
en
ce a
nd
Te
chn
ol-
og
y, T
hu
wal,
Sau
di A
rab
ia
B5
-2D
ire
cte
d A
sse
mb
ly-b
ase
d P
rin
tin
g
of
Na
no
an
d M
icro
sca
le E
lec
tro
n-
ics
an
d S
en
sors
Ah
me
d B
usn
ain
aN
ort
heast
ern
U
niv
ers
ity,
B
ost
on
, U
nit
ed
S
tate
s
C5
-3M
eta
l O
xid
e
Inte
rla
ye
rs
for
Hig
h
Pe
rfo
rma
nce
In
ve
rte
d
Pe
rov
skit
e
So
lar
Ce
lls
Ste
lios
Ch
ou
lisC
ypru
s U
niv
ersi
ty o
f Te
chn
olo
gy,
Lim
asso
l, C
y-p
rus
D5
-3_
INV
Fro
m
Ce
lls-
on
-Ch
ip
to
Ch
ips-
in-
Ce
ll: o
ur
fan
tast
ic “
vo
ya
ge
” P
laza
, Jo
sé A
nto
nio
In
stit
uto
d
e M
icro
elec
tró
nic
a d
e B
arce
lo-
na-
CN
M, S
pai
n
13:4
5A
5-4
A
full
y
inte
gra
ted
ta
pe
red
fi
be
r o
ptr
od
e
for
sim
ult
an
eo
us
mu
lti-
po
int
op
tic
al c
on
tro
l an
d e
lec
tric
al
rea
do
ut
of
ne
ura
l ac
tiv
ity
An
ton
io B
ale
na1,
2, A
less
an
dro
Riz
zo1,
2,
Le
on
ard
o S
ileo
1 , B
arb
ara
Sp
ag
no
lo1 ,
Fili
pp
o P
isan
o1 ,
Marc
o P
isan
ello
1 , F
ran
ce
sco
De N
uccio
3, D
om
en
ico
L
ofr
um
en
to3, E
nri
co
Do
me
nic
o
Le
mm
a1,2, B
ern
ard
o L
uis
Sab
ati
ni4
, M
ass
imo
De V
itto
rio
1,2, F
err
uccio
P
isan
ello
1
1 Isti
tuto
Italia
no
Di T
ecn
olo
gia
, Cen
ter F
or B
io-
mo
lecu
lar
Nan
ote
chn
olo
gie
s, A
rnesa
no
, Ita
ly,
2D
ep
art
men
t o
f In
no
vati
on
En
gin
eeri
ng
, U
ni-
vers
ità
del
Sale
nto
, Le
cce
, It
aly
, 3L
ab
Hu
man
A
nat
om
y an
d N
eu
rosc
ien
ce,
De
part
men
t o
f B
iolo
gic
al
an
d
En
viro
nm
en
tal
Tech
no
log
ies
an
d S
cien
ces.
Un
ivers
ità
del
Sale
nto
, Le
cce
, It
aly
, 4D
ep
art
men
t o
f N
eu
rob
iolo
gy,
Ho
ward
H
ug
hes
Me
dic
al
Inst
itu
te,
Harv
ard
M
ed
ical
Sch
oo
l, B
ost
on
, Ita
ly
B5
-3P
roto
-MIP
– A
No
ve
l R
ou
te f
or
MIP
F
ab
ric
ati
on
San
dra
Haas,
Le
o S
ch
ran
zho
fer,
Bri
ttan
y R
ob
ert
sP
rofa
cto
r, S
teyr
-Gle
ink, A
ust
ria
C5
-4F
ab
ric
ati
on
o
f e
lec
tro
spu
n
po
ly-
imid
e n
an
ofi
be
rs a
nd
th
eir
ap
pli
-c
ati
on
in
tri
bo
ele
ctr
ic n
an
og
en
er-
ato
rsY
eo
ng
jun
Kim
, Xin
we
i Wu
, Je H
oo
n O
hD
ep
art
men
t o
f M
ech
an
ical
En
gin
eeri
ng
, H
a-
nya
ng
Un
ivers
ity,
An
san
, So
uth
Ko
rea
40
Sci
entifi
c P
rog
ram
WEDN
ESD
AY S
EPTEM
BER 2
5th, 2019
14:0
0A
5-5
Gra
ysc
ale
e-b
ea
m l
ith
og
rap
hy
fo
r th
e f
ab
ric
ati
on
of
3D
mic
rofl
uid
ic
de
vic
es
Th
om
as
Mo
rte
lman
s1,2
,3, D
imit
rio
s K
azazi
s1 , V
italiy
A. G
uze
nko
1 , C
ele
stin
o
Pad
est
e1 ,
Xia
od
an
Li1 ,
Th
om
as
Bra
un
2,
He
nn
ing
Sta
hlb
erg
2, Y
asi
n E
kin
ci1
1 Pau
l S
cherr
er
Inst
itu
te,
52
32 V
illig
en
, S
wit
-ze
rlan
d,
2C
en
ter
for
Cellu
lar
Imag
ing
an
d
Nan
oA
naly
tics
(C-C
INA
), 4
05
8 B
ase
l, S
wit
-ze
rlan
d,
3S
wis
s N
an
osc
ien
ce I
nst
itu
te,
40
56
B
ase
l, S
wit
zerl
an
d
B5
-4A
nti
refl
ec
tiv
e
Mo
th-E
ye
s S
tru
c-tu
res
on
Fre
efo
rm S
urf
ace
s fa
bri
-c
ate
d b
y N
an
oim
pri
nt
Lit
ho
gra
ph
yM
ich
ae
l J. H
asl
ing
er, A
miy
a R
an
jan
M
oh
ara
na
, Dan
iel F
ech
tig
, Mic
hae
l M
üh
lbe
rge
rP
rofa
cto
r G
mb
h.,
Ste
yr-G
lein
k, A
ust
ria
D5
-4C
om
bin
ati
on
of
a b
iop
oly
me
r a
nd
U
V-c
ast
ing
fo
r p
rod
uc
tio
n o
f a
pe
-ri
ph
era
l n
erv
e i
mp
lan
t co
nta
inin
g
an
in
tern
al
ali
gn
ed
mic
roch
an
ne
ls
arr
ay
San
tos
Me
rin
o1 ,
Ru
th D
iez
- A
he
do
1 , M
ari
a d
el C
arm
en
Marq
uez
- P
osa
das1 ,
Iban
Qu
inta
na1 ,
Fra
ncis
co
Javie
r R
od
ríg
uez
2, J
oh
n W
. Hay
co
ck
3, A
llan
G
len
3, B
eg
oñ
a C
ast
ro4, E
va G
on
zále
z4,
Patr
ick D
uff
y5, W
en
xin
Wan
g6
1 Ik4
-Te
knik
er, E
ibar, S
pain
, 2H
osp
ital N
aci
on
al
de P
ara
plé
jico
s, T
ole
do
, S
pain
, 3U
niv
ers
ity
of
Sh
effi
eld
, S
heffi
eld
, E
ng
lan
d,
4H
isto
cell
S.L
, D
eri
o,
Sp
ain
, 5A
shla
nd
S
pe
cialt
ies
Irela
nd
, S
ynerg
y C
en
tre
, D
ub
lin,
Irela
nd
, 6U
niv
ers
ity
Co
lleg
e D
ub
lin, C
harl
es
Inst
itu
te o
f D
erm
ato
l -o
gy,
Du
blin
, Ire
lan
d
14:1
5-1
6:1
5P
OS
TE
R S
ES
SIO
N I
I (O
dd
Nu
mb
ers
)
41
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
WEDN
ESD
AY S
EPTEM
BER 2
5th, 2019
JU
PIT
ER
HA
LL
Ch
air
: C
. Tsa
mis
16:1
5-1
7:0
0
Ple
na
ry 6
Na
no
ge
ne
rato
rs f
or
self
-po
we
red
sy
ste
ms
an
d s
en
sors
Z
ho
ng
Lin
Wan
g
Geo
rgia
Tech
, US
A
42
Sci
entifi
c P
rog
ram
WEDN
ESD
AY S
EPTEM
BER 2
5th, 2019
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
6:
NIL
& N
OVEL L
ITH
OGR
APH
IES
Ch
air
s: Y
. H
irai,
M. F
ars
ari
SESSIO
N B
6:
NANO
FAB F
OR B
IOAPPLIC
ATIO
NS
Ch
air
s: A
. M
aan
, S
. F
ran
ssila
SESSIO
N C
6:
PH
OTO
NIC
STRUC
TURES
Ch
air
s: S
. C
ho
ulis
, L
. B
usi
naro
SESSIO
N D
6:
IND
US
TRIA
LC
hair
s: A
. M
cM
illan
, A
. Tse
rep
i
17:0
0A
6-1
Hig
h t
hro
ug
hp
ut
dir
ec
t m
eta
l o
x-id
e
na
no
pa
tte
rnin
g
by
so
l-g
el
soft
-NIL
in c
on
tro
lle
d a
tmo
sph
ere
, a
nd
re
late
d a
pp
lic
ati
on
sD
avid
Gro
sso
1 , T
ho
mas
Bo
tte
in1 ,
Sim
on
a C
he
ccu
cci2
, Marc
o F
au
stin
i3,
Mari
o G
uri
oli2
, Lu
c F
avre
1 , M
arc
o
Ab
barc
hi1
1 Im2
np
/
Aix
M
ars
eill
e
Un
ivers
ity,
M
ars
eill
e
Ce
dex
20
, F
ran
ce,
2E
uro
pean
L
ab
ora
tory
fo
r N
on
linear
Sp
ect
rosc
op
y (L
EN
S),
S
est
o
Fio
ren
tin
o,
Italy
, 3L
ab
ora
toir
e d
e C
him
ie d
e
la M
atiè
re C
on
den
sée d
e P
ari
s -
LCM
CP
So
r -b
on
ne U
niv
ers
ité
, Pari
s, F
ran
ce
B6
-1D
ire
ct
Ph
oto
red
uc
tio
n
of
Go
ld
Na
no
pa
rtic
les
on
SU
-8 n
an
ost
ruc-
ture
sY
i-Ju
i Ch
en
1 , P
rof. W
en
-Hu
ei C
han
g2,
Ch
un
-hu
ng
Lin
1
1 Nat
ion
al C
he
ng
Ku
ng
Un
ivers
ity,
Tain
an
, Tai-
wan
, 2N
atio
nal P
ing
tun
g U
niv
ers
ity,
Pin
gtu
ng
, Ta
iwan
C6
-1S
tre
tch
ab
le
pla
smo
nic
ru
lers
: R
ev
ers
ibly
tu
nin
g
the
co
up
lin
g
stre
ng
th
of
ind
ivid
ua
l p
lasm
on
-ic
n
an
o-b
ow
tie
s o
n
fle
xib
le
sub
-st
rate
sF
lori
an
Laib
le1,
2, D
om
inik
A. G
ollm
er1,
2,
Sim
on
Dic
kre
ute
r1,2, D
iete
r P. K
ern
1,2,
Mo
nik
a F
leis
ch
er1,
2
1 Inst
itu
te f
or
Ap
plie
d P
hys
ics,
Un
ivers
ity
of
Tueb
ing
en
, Tu
eb
ing
en
, G
erm
an
y,
2C
en
ter
LIS
A+
, U
niv
ers
ity
of
Tueb
ing
en
, Tu
eb
ing
en
, G
erm
an
y
D6
-1L
ab
el-
fre
e
ima
gin
g
pla
tfo
rm
for
rap
id a
na
lysi
s o
f b
iom
ark
ers
Lu
c D
üm
pe
lman
n1 ,
Ro
lan
d A
. Te
rbo
rg1 ,
Jo
sse
lin P
ello
1 , Illa
ria M
an
ne
lli1 ,
Fili
z Y
esi
lko
y2, A
lexan
de
r B
elu
shkin
2,
Yasa
man
Jah
an
i2, N
uri
a F
ab
ri-F
aja
3,
Pri
yan
ka D
ey3
, Ola
llo C
alv
o-L
ozan
o3,
M.-
Carm
en
Est
evez
3, A
nn
a F
àb
reg
a4,
Ju
an
J. G
on
zále
z-L
óp
ez4
, Lau
ra M
. L
ech
ug
a3, H
ati
ce A
ltu
g2, V
ale
rio
P
run
eri
1
1 ICF
O -
In
stit
ut
de C
ièn
cies
Fo
tòn
iqu
es,
Cas-
telld
efe
ls, S
pain
, 2E
PF
L -
Éco
le P
oly
tech
niq
ue
Fé
déra
le
de
Lau
san
ne
, L
au
san
ne
, S
wit
zer -
lan
d,
3IC
N2 –
In
stit
ute
of
Nan
osc
ien
ce a
nd
N
an
ote
chn
olo
gy,
Barc
elo
na
, S
pain
, 4H
osp
ital
Un
ivers
itari
Vall
d’H
eb
ron
, Barc
elo
na
, Sp
ain
17:1
5A
6-2
Su
b-m
icro
n
silv
er
wir
es
on
n
on
-fl
at
po
lym
er
sub
stra
tes
fab
ric
ate
d
by
th
erm
al i
mp
rin
t a
nd
ba
ck i
nje
c-ti
on
mo
ldin
gH
elm
ut
Sch
ift1 ,
Siji
a X
ie1 ,
Barb
ara
H
orv
áth
1 , Je
rom
e W
erd
er2
1 Pau
l S
cherr
er
Inst
itu
t (P
SI)
, V
illig
en
P
SI,
Sw
itze
rlan
d,
2F
HN
W
Un
ivers
ity
of
Ap
plie
d
Sci
en
ces
an
d A
rts
No
rth
west
ern
Sw
itze
rlan
d,
Win
dis
ch, S
wit
zerl
an
d
B6
-2M
icro
-an
d
na
no
stru
ctu
res
for
ul-
tra
soft
ne
ura
l in
terf
ace
s B
ekim
Osm
an
i1 , T
ino
Tö
pp
er1 ,
He
lmu
t S
ch
ift2
, Rap
hae
l Gu
zman
3, M
ag
nu
s K
rist
ian
sen
4, R
ow
en
a C
rocke
tt5, B
ert
M
ülle
r1
1 Bio
mat
eri
als
S
cien
ce
Cen
ter,
De
part
men
t o
f B
iom
ed
ical
En
gin
eeri
ng
, U
niv
ers
ity
of
Ba-
sel,
Alls
chw
il,
Sw
itze
rlan
d,
2L
ab
ora
tory
fo
r M
icro
- an
d
Nan
ote
chn
olo
gy,
P
au
l S
cherr
er
Inst
itu
te,
Vill
igen
, S
wit
zerl
an
d,
3D
ep
art
men
t o
f N
eu
rosu
rgery
, U
niv
ers
ity
Ho
spit
al
Base
l, B
ase
l, S
wit
zerl
an
d, 4
Inst
itu
te o
f P
oly
mer
Nan
-o
tech
no
log
y,
FH
NW
U
niv
ers
ity
of
Ap
plie
d
Sci
en
ces
an
d A
rts
No
rth
west
ern
Sw
itze
rlan
d,
Win
dis
ch, S
wit
zerl
an
d, 5
Co
atin
g C
om
pete
nce
C
en
ter, E
mp
a, D
üb
en
do
rf, S
wit
zerl
an
d
C6
-2E
nh
an
cem
en
t o
f P
ho
tod
ete
cti
on
P
erf
orm
an
ce o
f G
rap
he
ne
by
Ph
o-
tore
cep
tor
Pro
tein
Jin
g T
on
g1,
2, Y
i Wan
g3
,4, Y
ue
lin W
an
g1 ,
Tie
Li1
1 SIM
IT, C
AS
, Sh
an
gh
ai,
Ch
ina
, 2U
CA
S, B
eiji
ng
, C
hin
a, 3
Beiji
ng
In
stit
ute
of
Lif
eo
mic
s, B
eiji
ng
, C
hin
a, 4
NE
RC
PD
, Beiji
ng
, Ch
ina
D6
-2A
se
nsi
tiv
e
La
b-o
n-a
-ch
ip
for
pa
tho
ge
n d
ete
cti
on
usi
ng
a s
imp
le
DN
A a
mp
lifi
ca
tio
n r
ea
cti
on
G
eo
rgia
Kap
rou
1 , K
ate
rin
a T
sou
ge
ni1,
2,
Ath
ina S
. Kast
an
ia2, C
hri
sto
s-M
ori
tz
Lo
ukas1 ,
Ath
an
asi
os
Sm
yrn
akis
1 , K
osm
as
Elli
nas1,
2, E
van
ge
los
Go
go
lide
s1,2, A
ng
elik
i Tse
rep
i1,2
1 NC
SR
Dem
okr
ito
s, A
then
s, G
ree
ce,
2N
an
o-
pla
smas
P.C
., A
then
s, G
ree
ce
43
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
WEDN
ESD
AY S
EPTEM
BER 2
5th, 2019
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
6:
NIL
& N
OVEL L
ITH
OGR
APH
IES
Ch
air
s: Y
. H
irai,
M. F
ars
ari
SESSIO
N B
6:
NANO
FAB F
OR B
IOAPPLIC
ATIO
NS
Ch
air
s: A
. M
aan
, S
. F
ran
ssila
SESSIO
N C
6:
PH
OTO
NIC
STRUC
TURES
Ch
air
s: S
. C
ho
ulis
, L
. B
usi
naro
SESSIO
N D
6:
IND
US
TRIA
LC
hair
s: S
. P
ark
, A
. M
cM
illan
17:3
0A
6-3
Hy
dro
ge
n D
ep
ass
iva
tio
n L
ith
og
ra-
ph
y E
xp
osu
re P
hy
sic
sJo
hn
Ran
dall,
Jam
es
H.G
. Ow
en
, Eh
ud
F
uch
s, M
ich
ae
l Sch
an
tz, R
ob
in S
an
tin
i, C
yn
di D
elg
ad
o, J
ose
ph
H. L
ake
Zy
vex
Lab
s, R
ich
ard
son
, Un
ite
d S
tate
s
B6
-3B
ioB
ots
: L
igh
t-co
ntr
oll
ed
m
icro
-to
ols
fo
r b
iolo
gic
al a
pp
lic
ati
on
sA
da-I
oan
a B
un
ea1 ,
Ein
sto
m E
ng
ay2,
Ale
xan
dre
Wetz
el1 ,
Rafa
el T
ab
ory
ski1
1 DT
U
Nan
ola
b,
Ko
ng
en
s Ly
ng
by,
D
en
mark
, 2D
TU
Fo
ton
ik, K
on
gen
s Ly
ng
by,
Den
mark
C6
-3M
icro
-le
ns
arr
ay
su
pe
rpo
siti
on
s fo
r le
ve
l-li
ne
mo
iré
sT
ho
mas
Walg
er1 ,
Ro
ge
r D
. He
rsch
2,
Ju
erg
en
Bru
gg
er1
1 Mic
rosy
stem
s L
ab
ora
tory
- E
pfl
, L
au
san
ne
, S
wit
zerl
an
d,
2S
cho
ol
of
Co
mp
ute
r an
d C
om
-m
un
icat
ion
Sci
en
ces
- E
PF
L, L
au
san
ne
, Sw
it-
zerl
an
d
D6
-3D
imp
le s
tru
ctu
re t
o e
na
ble
hig
hly
a
ccu
rate
m
icro
dro
ple
t m
an
ipu
la-
tio
nK
ats
uo
Mo
gi1 ,
Sh
un
go
Ad
ach
i1 , N
ao
ki
Takad
a2, T
om
oya I
no
ue
2, T
oh
ru
Nats
um
e1
1 Mo
lecu
lar
Pro
filin
g
Rese
arc
h
Cen
ter
Fo
r D
rug
D
isco
very
, N
atio
nal
Inst
itu
te
Of
Ad
-va
nce
d I
nd
ust
rial
Sci
en
ce A
nd
Te
chn
olo
gy,
To
kyo
, Ja
pan
, 2R
ese
arc
h C
en
ter
for
Ub
iqu
i-to
us
ME
MS
an
d M
icro
En
gin
eeri
ng
, N
atio
nal
Inst
itu
te o
f A
dva
nce
d I
nd
ust
rial
Sci
en
ce a
nd
Te
chn
olo
gy,
Ib
ara
ki, J
ap
an
17:4
5A
6-4
Ha
rd m
ask
na
no
pa
tte
rns
inte
gra
t-e
d
into
se
mic
on
du
cto
r m
an
ufa
c-tu
rin
g:
A
faci
le
blo
ck
cop
oly
me
r m
eth
od
olo
gy
Tan
dra
Gh
osh
al,
Mic
hae
l Mo
rris
Su
rface
A
nd
In
terf
ace
C
hem
istr
y,
De
part
-m
en
t O
f C
hem
istr
y,
AM
BE
R
An
d
CR
AN
N,
Trin
ity
Co
lleg
e D
ub
lin, D
ub
lin, I
rela
nd
, Du
blin
, Ir
ela
nd
B6
-4F
ab
ric
ati
on
M
eth
od
olo
gy
fo
r P
ers
on
ali
sed
B
iod
eg
rad
ab
le
Mi-
cro
ne
ed
le A
rra
yL
ibo
Wu
1 , N
ob
uy
uki T
akam
a1 , B
eo
mjo
on
Kim
1
1 Inst
itu
te o
f In
du
stri
al S
cien
ce, T
he U
niv
ers
ity
of
Tokyo
, To
kyo
, Jap
an
C6
-4N
on
pla
na
r n
an
ost
ruc
turi
ng
of
ta-
pe
red
op
tic
al
fib
ers
fo
r p
lasm
on
ic
ne
ura
l in
terf
ace
sF
ilip
po
Pis
an
o1 ,
An
ton
io B
ale
na1,
2,
Marc
o G
ran
de
3, M
arc
o P
isan
ello
1 , T
izia
na S
tom
eo
1 , A
nto
nio
Qu
alt
ieri
1 , M
arc
o B
ian
co
1,2, L
eo
nard
o S
ileo
1 , A
nto
ne
lla D
’Ora
zio
3, M
ass
imo
De
Vit
tori
o1,
2, F
err
uccio
Pis
an
ello
1
1 Fo
nd
azio
ne
Isti
tuto
It
alia
no
D
i Te
cno
lo-
gia
-CB
N,
Arn
esa
no
, It
aly
, 2D
ipart
imen
to
di
Ing
eg
neri
a d
ell’
Inn
ova
zio
ne
, U
niv
ers
ità
del
Sale
nto
, Le
cce
, Ita
ly, 3
Dip
art
imen
to d
i In
geg
-n
eri
a E
lett
rica
e d
ell’
Info
rmaz
ion
e,
Po
lite
cni-
co d
i Bari
, Bari
, Ita
ly
D6
-4P
latf
orm
fo
r H
igh
Th
rou
gh
pu
t m
an
-u
fact
uri
ng
of
Mic
rofl
uid
ic D
evic
es
Mart
in S
mo
lka1 ,
An
ja H
aase
1 , S
tep
han
R
utt
loff
1 , Jo
han
nes
Gö
tz1 ,
Pelin
Tö
ren
1 , M
ark
us
Ru
mp
ler2
, Barb
ara
Sta
dlo
ber1 ,
Jan H
ess
e1 , S
asc
ha
Geid
el2
, Jö
rg N
est
ler2
, In
go
Kat
zmay
r3, M
ax
So
nn
leit
ner3
, Su
hit
H
em
anth
4, J
an K
afka
4, I
sbaal R
am
os5
, M
irko
Lo
hse
6, M
an
uel T
hese
n6, G
eo
rgio
s K
okk
inis
7 , G
ünth
er
Kri
ech
ham
mer7 ,
Mart
in H
orn
8, W
ilfri
ed
Weig
el8
, Nere
a
Bri
z Ic
eta
9, G
ora
n B
ijelic
9
1 JO
AN
NE
UM
RE
SE
AR
CH
- M
AT
ER
IALS
Inst
i-tu
te,
Weiz
, A
ust
ria,
2JO
AN
NE
UM
RE
SE
AR
CH
-
HE
ALT
H I
nst
itute
, Gra
z, A
ust
ria, 3
Bifl
ow
Sys
-te
ms
Gm
bH
, Ch
em
nit
z, G
erm
any,
4G
EN
SP
EE
D
Bio
tech
G
mb
H,
Rai
nb
ach
, A
ust
ria,
5In
mo
ld
A/S
, H
ørs
ho
lm,
Den
mar
k,
6In
no
pro
t,
Deri
o,
Sp
ain
, 7 m
icro
re
sist
te
chn
olo
gy
Gm
bH
, B
er-
lin, G
erm
any,
8P
ess
l In
stru
ments
Gm
bH
, W
eiz
, A
ust
ria,
9S
cien
ion A
G,
Berl
in,
Germ
any,
10Te
c-nal
ia R
ese
arch
an
d I
nn
ova
tio
n, D
on
ost
ia -
San
S
eb
asti
an, S
pai
n
19:3
0-2
2:0
0G
AL
A D
INN
ER
Inclu
din
g b
est
po
ste
r aw
ard
s, m
icro
gra
ph
co
nte
st a
ward
s an
d le
ctu
re/p
erf
orm
an
ce o
n a
rt a
nd
nan
ote
ch
no
log
y “
-Sk
y a
m: M
yth
olo
gie
s &
meth
od
olo
gie
s o
f a
nan
oart
ist”
by P
rof. I
oan
nis
Mic
halo
ud
is
44
Sci
entifi
c P
rog
ram
TH
URSD
AY S
EPTEM
BER 2
6th, 2019
JU
PIT
ER
HA
LL
Ch
air
s: G
. G
rütz
ne
r, D
. K
ern
08
:45
-09
:30
P
len
ary
7M
NE
Fe
llo
w A
wa
rd &
Le
ctu
reM
ore
th
an
na
me
pri
nt-
rep
lic
ati
on
an
d c
om
bin
ati
on
H
ella
-Ch
rist
in S
ch
ee
r U
niv
ers
ity o
f W
up
pert
al,
Germ
any
09
:30
-10
:00
Y
ou
ng
In
ve
stig
ato
r A
wa
rd
Ch
ips
an
d g
ad
ge
ts f
or
min
iatu
riz
ed
ass
ay
s Y
ukse
l Te
miz
Ib
m R
ese
arc
h, Z
uri
ch
, Sw
itze
rlan
d
10:0
0-1
0:1
5A
wa
rd C
ere
mo
ny
10:1
5-1
0:4
5C
OF
FE
E B
RE
AK
45
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
TH
URSD
AY S
EPTEM
BER 2
6th, 2019
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
7:
ELECTRO
N A
ND IO
N B
EAM
LIT
HO
GR
APH
YC
hair
s: S
. C
ab
rin
i, A
. O
lzie
rsky
SESSIO
N B
7:
NANO
STRUCTURES F
OR
PH
OTO
NIC
SC
hair
s: M
. M
uh
lbe
rge
r, S
. D
hu
ey
SESSIO
N C
7:
MATERIA
LS &
DEVIC
ES F
OR
N
AN
OELEC
TRO
NIC
SC
hair
s: Z
. D
urr
an
i, D
. Tso
ukala
s
SESSIO
N D
7:
MIC
RO
& N
AN
O F
LUID
ICS
Ch
air
s: P
. P
etr
ou
, L
. M
ala
qu
in
10:4
5A
7-1
A
sin
gle
in
teg
rate
d
fib
ero
ptr
od
e
for
op
tog
en
eti
c st
imu
lati
on
a
nd
e
lec
tric
al
reco
rdin
g o
f n
eu
ral
ac-
tiv
ity
Barb
ara
Sp
ag
no
lo1 ,
Le
on
ard
o S
ileo
1 , R
ui P
eix
oto
2, M
arc
o P
isan
ello
1 , F
ilip
po
P
isan
o1 ,
Em
an
ue
la M
ag
lie1,
3, B
ern
ard
o
L. S
ab
ati
ni2
, Mass
imo
De V
itto
rio
1,3,
Fe
rru
ccio
Pis
an
ello
1
1 Isti
tuto
It
alia
no
d
i Te
con
olo
gia
, C
en
ter
for
Bio
mo
lecu
lar
Nan
ote
chn
olo
gie
s,
Arn
esa
no
, Le
cce
, It
aly
, 2D
ep
art
men
t o
f N
eu
rob
iolo
gy,
H
ow
ard
H
ug
hes
Me
dic
al
Inst
itu
te,
Harv
ard
M
ed
ical
Sch
oo
l, U
.S.A
., U
nit
ed
Sta
tes,
3U
ni-
vers
ità
del S
ale
nto
, Dip
art
imen
to d
i In
geg
ne
-ri
a d
ell’
Inn
ova
zio
ne
, Ita
ly, I
taly
B7
-1F
ab
ric
ati
on
o
f V
ivid
, W
ide
A
rea
T
ran
smis
sio
n H
olo
gra
ms
in P
last
ic
Su
bst
rate
s u
sin
g N
an
oim
pri
nt
Li-
tho
gra
ph
yK
eit
h M
ort
on
, Liv
iu C
lime
, Gaéta
n
Ve
ille
ux, L
uke
Lu
kic
, Matt
hew
Sh
iu,
Te
od
or
Ve
res
Nat
ion
al R
ese
arc
h C
ou
nci
l Can
ad
a, M
on
treal,
Can
ad
a
C7
-1_
INV
Me
rgin
g C
om
pu
tin
g a
nd
Se
nsi
ng
fo
r L
ow
p
ow
er
an
d
Su
sta
ina
ble
E
dg
e A
pp
lic
ati
on
sT
ho
mas
Ern
st
CE
A-L
ET
I, G
ren
ob
le, F
ran
ce
D7
-13
D c
oa
xia
l li
qu
id i
nje
cti
on
an
d e
x-tr
ac
tio
n s
yst
em
by
2-p
ho
ton
-po
-ly
me
riza
tio
nP
ee
r E
rfle
1,2, A
nd
reas
Die
tze
l1,2
1 Te
chn
isch
e
Un
ivers
ität
C
aro
lo-w
ilhelm
ina
Zu
B
rau
nsc
hw
eig
, B
rau
nsc
hw
eig
, G
erm
an
y,
2Te
chn
isch
e U
niv
ers
ität
Bra
un
sch
weig
, C
en
-te
r o
f P
harm
ace
uti
cal E
ng
ine
eri
ng
, Bra
un
sch
-w
eig
38
106
, Germ
an
y
11:0
0A
7-2
Cs
an
d R
b I
on
Co
ldb
ea
m S
uit
ab
ili-
ty f
or
Cir
cuit
Ed
itY
uval G
ree
nzw
eig
1 , R
ich
ard
L
ive
ng
oo
d2, R
oy H
alls
tein
2, Y
ari
v
Dre
zne
r1 , M
inh
Ly
2, S
hid
a T
an
2, A
mir
R
ave
h1
1 Inte
l Is
rael,
Haif
a,
Isra
el,
2In
tel
Co
rpo
rati
on
, S
an
ta C
lara
, US
A
B7
-2F
ab
ric
ati
on
of
Go
ld o
n g
lass
ph
o-
ton
ic n
an
ost
ruc
ture
s.R
ich
ard
B. C
ou
sin
s1 , S
hakila
Nazn
in2,
Ham
ed
Peze
shki2
, Matt
Cla
rk2,
Ch
rist
op
he
r M
ello
r3
1 nm
RC
, 2E
lect
rica
l an
d e
lect
ron
ic e
ng
ineri
ng
, 3P
hsy
ics
an
d A
stro
no
my
D7
-2F
ab
ric
ati
on
of
a µ
-flu
idic
de
vic
e b
y
two
-ph
oto
n
lith
og
rap
hy
u
sin
g
a
po
siti
ve
to
ne
re
sist
Gijs
Van
De
r V
eld
en
, Dan
iel F
an
, Urs
S
tau
fer
Delf
t U
niv
ers
ity
Of
Tech
no
log
y, D
elf
t, N
eth
-erl
an
ds
46
Sci
entifi
c P
rog
ram
TH
URSD
AY S
EPTEM
BER 2
6th, 2019
11:1
5A
7-3
Th
e
Ma
rria
ge
o
f th
e
Ion
s a
nd
C
he
mis
try
to
Fu
lfill
Se
mic
on
du
c-to
r d
ev
ice
s P
rep
ara
tio
nG
reg
ory
Go
up
il1 , P
asc
al G
ou
net2
, C
hri
stia
n H
olle
rth
3, F
ran
k A
ltm
an
n4,
Se
bast
ian
Bra
nd
4, A
nn
e D
elo
bb
e1
1 Ors
ay P
hys
ics,
Fu
veau
, F
ran
ce,
2S
T M
icro
-ele
ctro
nic
s,
Gre
no
ble
, F
ran
ce,
3In
fin
eo
n
Tech
no
log
ies,
Neu
bib
erg
, G
erm
an
y, 4
Fra
un
-h
ofe
r IM
WS
, Halle
, Germ
an
y
B7
-3_
INV
Bio
mim
eti
cs
of
ph
oto
syn
the
tic
ph
oto
nic
str
uc
ture
s. H
ow
na
tura
l li
gh
t h
arv
est
ing
co
uld
be
com
e a
n
insp
ira
tio
n f
or
na
no
tech
no
log
y
Mart
in L
op
ez
Inte
rnat
ion
al
Iberi
an
Nan
ote
chn
olo
gy
Lab
o-
rato
ry-I
NL
, Po
rtu
gal
C7
-2V
ert
ica
l fi
eld
-eff
ec
t tr
an
sist
ors
b
ase
d
on
3
D
Ga
N
na
no
stru
ctu
re
arr
ay
sK
laas
Str
em
pe
l1,2, F
en
g Y
u1,
2, H
en
dri
k
Sp
en
de
1,2, J
an
a H
art
man
n1,
2, F
rie
dh
ard
R
öm
er3
, Be
rnd
Wit
zig
man
n3, A
nd
rey
Bakin
1,2, H
erg
o-H
ein
rich
We
hm
an
n1,
2,
Hu
tom
o S
ury
o W
asi
sto
1,2, A
nd
reas
Waag
1,2
1 Inst
itu
te
Of
Sem
ico
nd
uct
or
Tech
no
log
y (I
HT
), T
U B
rau
nsc
hw
eig
, Bra
un
sch
weig
, Ger -
man
y, 2
Lab
ora
tory
of
Em
erg
ing
Nan
om
etr
ol-
og
y (L
EN
A),
T
U
Bra
un
sch
weig
, B
rau
nsc
h-
weig
, G
erm
an
y,
3C
om
pu
tati
on
al
Ele
ctro
nic
s an
d P
ho
ton
ics
(CE
P),
Kass
el
Un
ivers
ity,
Kas -
sel,
Germ
an
y
D7
-3A
P
reci
se,
Lo
w-P
ow
er,
E
lec
tro
ki-
ne
tic
all
y A
ctu
ate
d M
icro
pu
mp
ing
M
ech
an
ism
Ale
xan
de
r E
de
n, F
arn
az
Lo
rest
an
i, S
ean
MacK
en
zie
, Ste
ph
en
Min
ne
, D
avid
Hu
be
r, C
arl
Me
inh
art
, Su
mit
a
Pe
nn
ath
ur
Un
ivers
ity
of
Calif
orn
ia, S
an
ta B
arb
ara
, San
ta
Barb
ara
, Un
ite
d S
tate
s
11:3
0A
7-4
Exc
ita
tio
n
po
we
r d
en
sity
d
ep
en
-d
en
ce o
f p
ho
tocu
rre
nt
fro
m I
nG
aN
p
ho
toc
ath
od
eD
aik
i Sato
1,2, T
om
oh
iro
Nis
hit
an
i2,3,
Ats
ush
i Ko
izm
i2, Y
osh
io H
on
da
3, H
iro
shi
Am
an
o3
1 De
part
men
t o
f E
lect
ron
ics,
Nag
oya
Un
ive
rsi-
ty, N
ag
oya
, Ja
pan
, 2P
ho
to e
lect
ron
So
ul
Inc.,
Nag
oya
, Ja
pan
, 3C
en
ter
for
Inte
gra
ted
R
e-
searc
h o
f F
utu
re E
lect
ron
ics,
In
stit
ute
of
Ma-
teri
als
an
d S
yste
ms
for
Su
stain
ab
ility
, Nag
oya
U
niv
ers
ity,
Nag
oya
, Jap
an
C7
-3D
esi
gn
a
nd
si
mu
lati
on
o
f p
lan
ar
na
no
va
cuu
m c
ha
nn
el
tra
nsi
sto
rs
(pN
VC
T)
Marc
o T
urc
hett
i, P
hill
ip D
. Ke
ath
ley,
Y
ujia
Yan
g, A
lbe
rto
Nard
i, L
uca D
an
iel,
Karl
K. B
erg
gre
nM
ass
ach
use
tts
Inst
itu
te o
f Te
chn
olo
gy,
Cam
-b
rid
ge
, Un
ite
d S
tate
s
D7
-4_
INV
De
sig
n
an
d
Fa
bri
ca
tio
n
of
Pla
s-ti
c N
an
ofl
uid
ic D
ev
ice
s fo
r S
ing
le
Mo
lecu
le D
ete
cti
on
Su
ng
go
ok P
ark
Lo
uis
ian
a S
tate
Un
ivers
ity,
US
A
11:4
5A
7-5
Na
no
fab
ric
ati
on
a
nd
im
ag
ing
ch
ara
cte
riza
tio
n o
f 3
0 n
m r
eso
lu-
tio
n c
ha
rts
wit
h v
ert
ica
l sid
ew
all
sJin
gy
uan
Zh
u1 ,
Sic
hao
Zh
an
g1 ,
Sh
an
shan
Xie
1 , Y
ifan
g C
he
n1 ,
Bia
o
De
ng
2, L
ijuan
Zh
an
g2
1 Nan
olit
ho
gra
ph
y an
d A
pp
licat
ion
Rese
arc
h
Gro
up
, S
tate
key
lab
of
AS
IC a
nd
, S
han
gh
ai,
Ch
ina
, 2S
han
gh
ai
Syn
chro
tro
n R
ad
iati
on
Fa-
cilit
y, S
han
gh
ai
Inst
itu
te o
f A
pp
lied
Ph
ysic
s,
Sh
an
gh
ai,
Ch
ina
B7
-4M
on
ola
ye
r g
rap
he
ne
dir
ec
t tr
an
s-fe
r o
n s
ilic
on
fo
r S
cho
ttk
y p
ho
to-
dio
de
fa
bri
ca
tio
nY
imin
g W
an
g1,
2, A
lbe
rto
Balle
sio
2,
Matt
eo
Parm
eg
gia
ni2
,3, A
less
io V
ern
a2,
Matt
eo
Co
cu
zza
2,4, C
an
did
o F
ab
rizi
o
Pir
ri2
,3, S
imo
ne L
uig
i Mara
sso
2,4,
Sh
um
ing
Yan
g1
1 Sta
te K
ey
Lab
ora
tory
fo
r M
an
ufa
ctu
rin
g S
ys-
tem
En
gin
eeri
ng
, X
i’an
Jia
oto
ng
Un
ivers
ity,
2C
hila
b -
Mat
eri
als
an
d M
icro
syst
em
s L
ab
o-
rato
ry,
DIS
AT,
Po
lite
cnic
o d
i To
rin
o,
3C
en
ter
for
Su
stain
ab
le F
utu
re T
ech
no
log
ies,
Ita
lian
In
stit
ute
of
Tech
no
log
y, 4
CN
R-I
ME
M
C7
-4In
teg
rati
on
o
f 2
D
Mo
S2
w
ith
In
-A
lAs/
InG
aA
s h
ete
roju
nc
tio
n
for
du
al c
olo
r d
ete
cti
on
in b
oth
vis
ible
a
nd
ne
ar-
infr
are
d b
an
dJia
nan
De
ng
, Min
gsa
i Zh
u, Y
uyin
g X
ie,
Lin
gyi Z
on
g, W
en
zho
ng
Bao
, Yif
an
g
Ch
en
Fu
dan
Un
ivers
ity,
Sh
an
gh
ai,
Ch
ina
47
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
12:0
0-1
3:0
0L
UN
CH
BR
EA
K
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
8:
INDUSTRIA
L I
Ch
air
s: I
. R
ap
tis,
M. C
hatz
ich
rist
idi
SESSIO
N B
8:
WETTIN
G III
Ch
air
s: K
. E
llin
as,
A. A
mir
fasl
i
SESSIO
N C
8:
MATERIA
LS F
OR
N
AN
OELEC
TRO
NIC
S II
Ch
air
s: A
. B
usn
ain
a, D
. Tso
ukala
s
SESSIO
N D
8:
DEVIC
ES F
OR D
NA S
TUD
IES
Ch
air
s: G
. K
alt
sas
13:0
0A
8-1
_IN
VT
he
p
ath
to
R
oll
to
R
oll
Im
pri
nt
Te
chn
olo
gy
, a
n E
na
bli
ng
Te
chn
ol-
og
yJo
hn
Malt
ab
es
Ap
plie
d M
ateri
als
, Germ
an
y
B8
-1A
sc
ala
ble
pro
cess
fo
r m
an
ufa
ctu
r-in
g h
iera
rch
ica
l su
pe
rhy
dro
ph
ob
ic
stru
ctu
res
on
alu
min
ium
: p
rom
o-
tio
n o
f st
ab
le d
rop
wis
e c
on
de
nsa
-ti
on
fo
r tr
op
ica
l air
co
nd
itio
nin
gK
rist
yn
Kad
ala
, So
och
an
Ch
un
g,
Hay
de
n T
aylo
rU
C B
erk
ele
y, B
ER
KE
LE
Y, U
nit
ed
Sta
tes
C8
-1S
uit
ab
ilit
y o
f H
SQ
as
fab
ric
ati
on
m
ate
ria
l fo
r v
ert
ica
l d
ev
ice
s a
t n
a-
no
-sc
ale
Est
eve A
mat1 ,
Alb
ert
o d
el M
ora
l1 , H
an
s-Jü
rge
n E
ng
elm
an
n2, A
hm
ed
G
harb
i3, G
uid
o R
ad
em
ake
r3, M
ari
e-L
ine
Po
rte
au
3, R
alu
ca T
iro
n3, J
oan
Bau
sells
1 , F
ran
ce
sc P
ere
z-M
ura
no
1
1 Inst
itu
te
of
Mic
roele
ctro
nic
s o
f B
arc
elo
-n
a,
Bella
terr
a,
Sp
ain
, 2H
elm
ho
ltz-
Zen
tru
m
Dre
sden
-Ro
ssen
do
rf,
Dre
sden
, G
erm
an
y,
3C
EA
-LE
TI,
Gre
no
ble
, Fra
nce
D8
-1U
ltra
fast
P
ha
ge
-Lo
ng
D
NA
S
ize
P
rofi
lin
g
Usi
ng
O
pto
na
no
flu
idic
D
ev
ice
Ch
ia F
u C
ho
u1 ,
Jia
We
i Ye
h1 ,
Yii
Lih
L
in1,
2, K
. K. S
rira
m1,
2
1 Inst
itu
te o
f P
hys
ics,
Aca
dem
ia S
inic
a, Ta
ipei,
Taiw
an
, 2C
hem
ical B
iolo
gy
Div
isio
n, C
halm
ers
U
niv
ers
ity
of
Tech
no
log
y, G
oth
en
bu
rg,
Sw
e-
den
13:1
5B
8-2
Su
pe
rhy
dro
ph
ob
ic a
nd
su
pe
role
o-
ph
ob
ic
pro
pe
rtie
s e
nh
an
cem
en
t o
n
PD
MS
m
icro
stru
ctu
re
usi
ng
si
mp
le fl
am
e t
rea
tme
nt
me
tho
d
Nit
hi A
tth
i1 , Tan
aw
at
Jan
sen
g2, A
pid
ech
M
an
ee
son
g2, N
att
hap
ol K
on
gto
2,
Wit
saro
ot
Sri
pu
mkh
ai1 ,
Patt
ara
luck
Patt
am
an
g1 ,
Ora
ph
an
Th
on
gso
ok
1 , S
ara
nya S
un
tale
lat1 ,
Jir
aw
at
Jan
taw
on
g1 ,
Ap
ich
art
Ro
dch
an
aro
wan
2,
Nip
ap
an
Klu
nn
gie
n1 ,
Wu
tth
inan
Je
am
saksi
ri1
1 Th
ai
Mic
roele
ctro
nic
s C
en
ter
(TM
EC
),
Na-
tio
nal
Ele
ctro
nic
s an
d C
om
pu
ter
Tech
no
log
y C
en
ter
(NE
CT
EC
), C
hach
oen
gsa
o,
Th
aila
nd
, 2D
ep
art
men
t o
f M
ateri
als
En
gin
eeri
ng
, Facu
l-ty
of
En
gin
eeri
ng
, Kase
tsart
Un
ivers
ity,
Ban
g-
kok, T
haila
nd
C8
-2M
em
rist
ive
be
ha
vio
ur
of
ele
ctr
o-
de
po
site
d t
he
rmo
ele
ctr
ic m
ate
ri-
als
Ian
Mih
ailo
vic
, Katr
ina K
löse
l, C
hri
sto
fer
Hie
rold
Mic
ro a
nd
Nan
osy
stem
s, E
TH
Zü
rich
, Z
üri
ch,
Sw
itze
rlan
d
D8
-2N
an
om
ech
an
ica
l D
NA
re
son
a-
tor
for
DN
A s
tru
ctu
ral
alt
era
tio
ns
stu
die
sM
on
ica M
ari
ni1,
2, S
tefa
no
Sta
ssi1 ,
Marc
o
Alli
on
e2, S
erg
ei L
op
ati
n3, D
om
en
ico
M
ars
on
4, E
rik L
au
rin
i4, S
ab
rin
a P
ricl4
, B
run
o T
orr
e2, A
nd
rea G
iug
ni2
, Man
ola
M
ore
tti2
, Pe
ng
Zh
an
g2, C
an
did
o
Fab
rizi
o P
irri
1 , C
arl
o R
iccia
rdi1 ,
En
zo D
i F
ab
rizi
o2
1 DIS
AT,
P
oly
tech
nic
o
f Tu
rin
, Tu
rin
, It
aly
, 2S
MIL
Es
Lab
, K
AU
ST,
T
hu
wal,
Kin
gd
om
o
f S
au
di
Ara
bia
, 3Im
ag
ing
an
d C
hara
cteri
zati
on
C
ore
Lab
, KA
US
T, T
hu
wal,
Kin
gd
om
of
Sau
di
Ara
bia
, 4D
EA
, U
niv
ers
ity
of
Trie
ste
, Tr
iest
e,
Italy
TH
URSD
AY S
EPTEM
BER 2
6th, 2019
48
Sci
entifi
c P
rog
ram
13:3
0A
8-2
Fle
xp
ol:
De
ve
lop
ing
a b
ac
teri
cid
e
ad
he
siv
e fi
lmN
iko
s K
eh
ag
ias
Cat
ala
n I
nst
itu
te O
f N
an
osc
ien
ce A
nd
Nan
o-
tech
no
log
y, B
ella
terr
a, B
arc
elo
na
, Sp
ain
B8
-3D
esi
gn
, fa
bri
ca
tio
n a
nd
ch
ara
cte
r-is
ati
on
st
rate
gie
s fo
r la
rge
a
rea
b
ac
teri
cid
al p
oly
me
r fi
lms
Fra
nco
ne A
.1 , R
eto
laza A
.2, R
am
iro
J.2
, M
eri
no
S.2
, Vie
ira D
e C
ast
ro J
.3, N
eve
s N
.3, A
ran
a A
.4, M
ari
mo
n J
.4, S
oto
may
or
To
rre
s C
.1,5, K
eh
ag
ias
N.1
1 ICN
2 -
Cat
ala
n I
nst
itu
te o
f N
an
osc
ien
ce a
nd
N
an
ote
chn
olo
gy,
2IK
4-T
ekn
iker, 3
Rese
arc
h In
-st
itu
te o
f B
iom
ate
rials
, B
iod
eg
rad
ab
les
an
d
Bio
mim
eti
cs,
4U
niv
ers
ity
Ho
spit
al-
Bio
do
no
s-ti
a H
ealt
h R
ese
arc
h In
stit
ute
, 5In
stit
uci
o C
ata-
lan
a d
e R
ece
rca
i E
stu
dis
Ava
nca
ts (
ICR
EA
),
Sp
ain
C8
-3E
lec
tro
sta
tic
all
y-c
ou
ple
d
do
pa
nt
ato
m q
ua
ntu
m d
ot
tra
nsi
sto
r m
ea
-su
rem
en
t a
t ro
om
-te
mp
era
ture
Fari
s A
bu
aln
aja
1 , C
he
n W
an
g1 ,
Vla
d-
Petr
u V
eig
an
g-R
ad
ule
scu
1 , Jo
nath
an
G
riffi
ths2
, Ale
kse
y A
nd
reev3
, Me
rvyn
Jo
ne
s1 , Z
ah
id D
urr
an
i1
1 Imp
eri
al
Co
lleg
e
Lo
nd
on
, Lo
nd
on
, U
nit
ed
K
ing
do
m,
2U
niv
ers
ity
of
Cam
bri
dg
e,
Cam
-b
rid
ge
, Un
ite
d K
ing
do
m, 3
Hit
ach
i Cam
bri
dg
e,
Cam
bri
dg
e, U
nit
ed
Kin
gd
om
D8
-3C
on
tro
llin
g
DN
A
tra
nsl
oc
ati
on
in
n
an
ofl
uid
ic d
ev
ice
s u
sin
g t
op
og
-ra
ph
yF
ran
zisk
a E
sme
k, I
ren
e F
ern
an
dez-
Cu
est
aH
am
bu
rg U
niv
ers
ity,
Ham
bu
rg, G
erm
an
y
13:4
5A
8-3
Fu
ll-S
ca
le
Ma
nu
fac
turi
ng
o
f 2
00
mm
/30
0m
m W
afe
rs o
n a
Fu
lly
In
teg
rate
d N
an
oim
pri
nt
Lit
ho
gra
-p
hy
Sy
ste
m
Wie
sbau
er
H.1 ,
Ch
ou
iki M
.1 , T
han
ne
r C
.1 , B
erg
er
G.1 ,
Mart
en
s S
.2, T
reib
lmay
r D
.1 , H
art
bau
m J
.2, E
ibe
lhu
be
r M
.1
1 EV
Gro
up
, 2In
situ
t fü
r M
ikro
ele
ktr
on
ik S
tutt
-g
art
(IM
S C
HIP
S),
Germ
an
y
C8
-4S
pu
tte
red
Zn
O n
an
ost
ruc
ture
ho
-m
oju
nc
tio
ns
fab
ric
ate
d
on
ro
om
te
mp
era
ture
p
re-p
att
ern
ed
su
b-
stra
tes
De
lige
org
is G
.1 , K
am
pyla
fka V
.2,
Ko
sto
po
ulo
s A
.3, M
od
rean
u M
.4,
Sch
mid
t M
.5, G
ag
ao
ud
akis
E.6
, Tsa
gara
ki K
.7 , K
on
tom
itro
u V
.8,
Ko
nst
an
tin
idis
G.9
, Kir
iakid
is G
.10,
Ap
era
thit
is E
.11
1 Fo
rth
/iesl
, 2F
OR
TH
/IE
SL
, 3F
OR
TH
/IE
SL
, 4Ty
nd
all
Nat
ion
al
Inst
itu
te,
5Ty
nd
all
nat
ion
-al
Inst
itu
te,
Icela
nd
6F
OR
TH
/IE
SL
, 7F
OR
TH
/IE
SL
, 8F
OR
TH
/IE
SL
, 9F
OR
TH
/IE
SL
, 10F
OR
TH
/IE
SL
, 11F
OR
TH
/IE
SL
, Gre
ece
14:0
0-1
4:1
5C
OF
FE
E B
RE
AK
TH
URSD
AY S
EPTEM
BER 2
6th, 2019
49
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
9:
INDUSTRIA
L II
Ch
air
s: T
. S
tom
eo
, Y
. G
eo
rgie
v
SESSIO
N B
9:
NAN
OFABRIC
ATIO
NC
hair
s: N
. V
ain
os,
JH
Oh
SESSIO
N C
9:
MATERIA
LS F
OR P
HO
TO
NIC
SC
hair
s: S
. M
eri
no
, M
. L
op
ez
SESSIO
N D
9:
LAB &
ORG
AN
ON
-CH
IPC
hair
s: K
. Tso
ug
en
i, S
. K
elle
r
14:1
5A
9-1
All
in
teg
rate
d
mix
&
m
atc
h
di-
rec
t-w
rite
na
no
- a
nd
mic
roli
tho
g-
rap
hy
pla
tfo
rm b
ase
d o
n lo
ca
l he
at
ind
uce
d s
ub
lim
ati
on
of
po
lyp
hth
a-
lald
eh
yd
e r
esi
stF
elix
Ho
lzn
er1 ,
Ach
im J
eh
le2, D
ieth
ard
P
ete
r2, S
am
ue
l Bis
ig1 ,
Sim
on
Bo
nan
ni1 ,
Ph
ilip
Pau
l2, U
rs D
ue
rig
1
1 Sw
issl
ith
o A
G,
Zu
rich
, S
wit
zerl
an
d,
2H
eid
el-
berg
In
stru
men
ts, H
eid
elb
erg
, Germ
an
y
B9
-1M
ate
ria
ls c
ha
rac
teri
zati
on
of
ga
s a
ssis
ted
etc
h a
nd
de
po
siti
on
of
fo-
cuse
d C
s+ i
on
be
am
Y
ari
v D
rezn
er1 ,
DY
uval G
ree
nzw
eig
1 , R
oy H
alls
tein
2, R
ick L
ive
ng
oo
d2,
Am
ir R
ave
h1 ,
Ad
am
Ste
ele
3, B
ren
ton
K
nu
ffm
an
3, A
nd
rew
Sch
warz
kop
f3
1 Inte
l Is
rael,
Haif
a,
Isra
el,
2In
tel
Co
rpo
rati
on
, S
an
ta C
lara
, Un
ite
d S
tate
s, 3
zero
K N
an
ote
ch,
Gait
hers
bu
rg, U
nit
ed
Sta
tes
C9
-1T
un
ing
F
luo
rop
ho
res
Co
nce
ntr
a-
tio
n a
nd
Th
eir
Re
sid
en
ce T
ime
in
Z
ero
-Mo
de
Wa
ve
gu
ide
sA
nd
rea B
arb
ag
lia1,
2, M
ich
ele
Dip
alo
1 , F
ran
ce
sco
Tan
tuss
i1 , A
nd
rea T
om
a1 , F
ran
ce
sco
De A
ng
elis
1
1 Isti
tuto
Ita
lian
o d
i Te
cno
log
ia,
Gen
ova
, It
aly
, 2D
ipart
imen
to d
i Fis
ica
, Un
ivers
ità
deg
li S
tud
i d
i Gen
ova
, Gen
ova
, Ita
ly
D9
-1L
en
sle
ss
ima
gin
g
stra
teg
ies
for
mic
ro-p
art
icle
s a
nd
ba
cte
ria
l co
lo-
nie
s co
un
tin
gA
lexis
Mair
e1,
3, T
on
a Y
esc
as
Go
nzale
z1 , O
livie
r L
ecarm
e2, M
arc
Ze
lsm
an
n1 ,
Em
man
ue
l Pic
ard
3, P
ierr
e M
arc
ou
x4,
David
Peyra
de
1
1 Un
iv.
Gre
no
ble
A
lpes,
C
NR
S,
LTM
, G
ren
o-
ble
, F
ran
ce,
2S
mart
Fo
rce T
ech
no
log
ies,
c/o
LT
M-C
NR
S
CE
A/L
ET
I, G
ren
ob
le,
Fra
nce
, 3U
niv
. Gre
no
ble
Alp
es,
CE
A, I
NA
C, P
HE
LIQ
S,
SIN
AP
S,
Gre
no
ble
, F
ran
ce,
4U
niv
. G
ren
ob
le
Alp
es,
CE
A, L
ET
I, D
TB
S, S
BS
C, LC
MI/
LB
AM
, G
ren
ob
le, F
ran
ce
14:3
0A
9-2
Ad
va
nce
d
FIB
P
att
ern
ing
S
tra
te-
gie
s fo
r P
ho
ton
ic D
ev
ice
sA
ch
im N
ad
zeyka
, To
rste
n R
ich
ter,
Mic
hae
l Kah
l, F
ran
k N
ou
ve
rtn
éR
ait
h G
mb
h, D
ort
mu
nd
, Germ
an
y
B9
-2L
ith
ium
-Do
pin
g o
f Z
nO
: is
it p
oss
i-b
le t
o c
he
mic
all
y p
rod
uce
p-t
yp
e
Zn
O?
Ge
org
e P
. Pap
ag
erg
iou
1 , V
ass
ileio
s P
sych
ari
s1 , M
ari
a K
ats
ikin
i2, F
an
i P
inakid
ou
2, E
len
i Palo
ura
2, E
len
i M
akaro
na1
1 Inst
itu
te
Of
Nan
osc
ien
ce
an
d
Nan
ote
ch-
no
log
y, N
CS
R D
em
okr
ito
s, A
then
s, G
ree
ce,
2S
cho
ol
of
Ph
ysic
s,
Ari
sto
tle
Un
ivers
ity
of
Th
ess
alo
nik
i, T
hess
alo
nik
i, G
ree
ce
C9
-2V
ery
Hig
h R
efr
ac
tiv
e I
nd
ex
Tra
nsi
-ti
on
Me
tal D
ich
alc
og
en
ide
Ph
oto
n-
ic C
on
form
al
Co
ati
ng
s b
y C
on
ve
r-si
on
of
AL
D M
eta
l Ox
ide
sA
dam
Sch
wart
zbe
rg, C
hri
sto
ph
er
Ch
en
, Jaco
po
Pe
dri
ni,
Ash
ley G
au
ldin
g,
Ch
rist
op
h K
ast
l, S
co
tt D
hu
ey,
Tev
ye
Ku
yke
nd
all,
Giu
sep
pe C
ala
fio
re,
Fra
nce
sca T
om
a, S
tefa
no
Cab
rin
i, S
hau
l Alo
ni
Th
e M
ole
cula
r F
ou
nd
ry,
Law
ren
ce B
erk
ele
y N
atio
nal L
ab
s, B
erk
ele
y, U
nit
ed
Sta
tes
D9
-2O
n c
hip
re
con
stit
uti
on
of
com
ple
x
bio
log
ica
l sy
ste
ms:
a
b
rid
ge
b
e-
twe
en
bio
log
y a
nd
ma
the
ma
tic
al
mo
de
lsA
de
le D
e N
inn
o1 ,
Fra
nce
sca R
om
an
a
Be
rtan
i1 , A
nn
am
ari
a G
era
rdin
o1 ,
Ro
be
rto
Nata
lini4
, Elis
han
C. B
rau
n4,
Gab
rie
lla B
rett
i4, G
iovan
na S
ch
iavo
ni2
, F
ab
rizi
o M
att
ei2
, Eu
ge
nio
Mart
ine
lli3,
Ari
an
na M
en
catt
ini3
, Lu
ca B
usi
naro
1
1 CN
R
- In
stit
ute
O
f P
ho
ton
ics
An
d
Nan
o-
tech
no
log
ies,
2Is
titu
to
Su
peri
ore
d
i sa
nit
à,
3U
niv
ers
ità
di
Tor
Verg
ata
, D
ep
art
men
t o
f E
lect
ron
ic E
ng
ine
eri
ng
, 4C
NR
-Ist
itu
to p
er
le
Ap
plic
azio
ni d
el C
alc
olo
TH
URSD
AY S
EPTEM
BER 2
6th, 2019
50
Sci
entifi
c P
rog
ram
TH
URSD
AY S
EPTEM
BER 2
6th, 2019
14:4
5A
9-3
Ne
w h
igh
etc
h r
esi
sta
nt
hig
h r
eso
-lu
tio
n s
ilse
squ
iox
an
e b
ase
d r
esi
st
for
DU
V/E
UV
an
d e
-be
am
lit
ho
g-
rap
hy
as
lon
g s
he
lf-l
ife
an
d m
ore
se
nsi
tiv
e a
lte
rna
tiv
e f
or
HS
QF
ran
zisk
a G
rün
eb
erg
er1 ,
Maik
G
ern
gro
ß1 ,
Matt
hia
s S
ch
irm
er1 ,
Fra
nk
Heyro
th2, G
eo
rg S
ch
mid
t2,3, N
ico
las
Pyka
4, L
oth
ar
Hah
n5
1 Allr
esi
st
Gm
bH
, S
trau
sberg
, G
erm
an
y,
2In
-st
itu
t fü
r P
hys
ik,
Mart
in-L
uth
er-
Un
ivers
ität
H
alle
-Wit
ten
berg
, H
alle
(S
aale
),
Germ
an
y,
3In
terd
iszi
plin
äre
s Z
en
tru
m
für
Mat
eri
alw
is-
sen
sch
afte
n,
Mart
in-L
uth
er-
Un
ivers
ität
H
al-
le-W
itte
nb
erg
, Halle
, G
erm
an
y, 4
Rait
h G
mb
H,
Do
rtm
un
d,
Germ
an
y,
5In
stit
ute
o
f M
icro
-st
ruct
ure
, K
arl
sru
he I
nst
itu
te o
f Te
chn
olo
gy,
E
gg
en
stein
-Le
op
old
shaf
en
, Germ
an
y
B9
-3D
ev
elo
pm
en
t o
f v
an
d
er
Wa
als
fo
rce
b
ase
d
mic
rosc
ale
jo
int
for
mic
rosc
ale
ass
em
bly
Ki-
hw
an
Jan
g1 ,
Min
-So
o K
im2, J
on
g
Hy
uk I
m1 ,
Su
ng
-Ho
on
Ah
n1,
3
1 De
part
men
t o
f M
ech
an
ical
an
d A
ero
space
E
ng
ine
eri
ng
, Se
ou
l Nat
ion
al U
niv
ers
ity,
Se
ou
l, S
ou
th K
ore
a, 2
So
ft R
ob
oti
cs
Rese
arc
h C
en
ter,
Se
ou
l Nat
ion
al U
niv
ers
ity,
Se
ou
l, S
ou
th K
ore
a,
3In
stit
ute
of
Ad
van
ced
Mach
ines
an
d D
esi
gn
, S
eo
ul N
atio
nal U
niv
ers
ity,
Se
ou
l, S
ou
th K
ore
a
C9
-3F
ab
ric
ati
on
an
d n
ov
el a
pp
lic
ati
on
s o
f G
aN
-ba
sed
mic
roL
ED
arr
ay
sJan
Gü
link
1,2, M
ich
ae
l Fah
rbach
1,2,
He
nd
rik S
pe
nd
e1,
2, K
laas
Str
em
pe
l1,2,
To
ny G
ran
z1,2, S
ye
d A
sad
Ali
Zaid
i1 , H
uto
mo
Su
ryo
Wasi
sto
1,2, A
nd
reas
Waag
1,2
1 Inst
itu
te
of
Sem
ico
nd
uct
or
Tech
no
log
y (I
HT
), T
U B
rau
nsc
hw
eig
, Bra
un
sch
weig
, Ger -
man
y,
2L
ab
ora
tory
fo
r E
merg
ing
N
an
om
e-
tro
log
y (L
EN
A),
TU
Bra
un
sch
weig
, Bra
un
sch
-w
eig
, Germ
an
y
D9
-33
D s
tru
ctu
rati
on
of
po
rou
s P
DM
S
by
e
mu
lsio
n
tem
pla
tin
g
for
the
fa
bri
ca
tio
n o
f ce
ll c
ult
ure
sc
aff
old
sR
ob
ert
o R
iesc
o1,
2, L
ou
isa B
oye
r1 , S
ara
h
Blo
sse
1,2, P
au
line L
efe
bvre
3, P
au
line
Ass
em
at3
, Th
ierr
y L
eic
hle
1 , A
ng
elo
A
ccard
o1 ,
Lau
ren
t M
ala
qu
in1
1 LA
AS
-CN
RS
, To
ulo
use
, F
ran
ce,
2IN
SA
, To
u-
lou
se, F
ran
ce, 3
IMF
T, T
ou
lou
se, F
ran
ce
15:0
0A
9-4
Mu
ltis
ca
le P
osi
tio
n C
orr
ec
tio
n f
or
Au
tom
ate
d
De
vic
e-s
ca
le
ST
M
Li-
tho
gra
ph
yJam
es
Ow
en
, Jo
sep
h L
ake
, Eh
ud
F
uch
s, R
ob
in S
an
tin
i, Jo
hn
Ran
dall
Zy
vex
Lab
s, R
ich
ard
son
, Un
ite
d S
tate
s
B9
-4E
lec
tric
all
y
con
tro
lle
d
mo
difi
ca
-ti
on
of
po
lym
er
film
str
uc
ture
of
sem
ico
nd
uc
tor
– in
sula
tor
com
-p
osi
tes
ca
ste
d b
y h
ori
zon
tal-
dip
-p
ing
Kam
il A
wsi
uk
1 , Jaku
b R
ysz
1 , M
ate
usz
M.
Marz
ec
2, P
aw
eł
Dąb
czyńs
ki1 ,
An
drz
ej
Bu
dko
wsk
i1
1 M.
Sm
olu
cho
wsk
i In
stit
ute
Of
Ph
ysic
s, J
ag
i-ello
nia
n U
niv
ers
ity,
Ł
oja
siew
icza
11
, 3
0-3
48
K
rakó
w,
Po
lan
d,
Kra
kow
, P
ola
nd
, 2A
cad
em
ic
Cen
tre
for
Mat
eri
als
an
d
Nan
ote
chn
olo
gy,
A
GH
Un
ivers
ity
of
Sci
en
ce a
nd
Te
chn
olo
gy,
K
rako
w, P
ola
nd
C9
-4M
ag
ne
tic
Dip
ole
R
eso
na
nce
In
-d
uce
d V
isib
le L
um
ine
sce
nce
fro
m
Hu
nd
red
N
an
om
ete
rs
of
Sil
ico
n
Pa
rtic
les
Sih
We
i Ch
an
g, Y
i-C
hu
an
Tse
ng
, Yan
g-
Ch
un
Le
e, H
sue
n-L
i Ch
en
Nat
ion
Taiw
an
Un
ivers
ity,
Taip
ei,
Taiw
an
15:1
5-1
5:3
0S
HO
RT
BR
EA
K
51
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
TH
URSD
AY S
EPTEM
BER 2
6th, 2019
NE
FE
LI
HA
LL
DE
LP
HI
HA
LL
JU
PIT
ER
HA
LL
AT
HE
NA
HA
LL
SESSIO
N A
10:
RESIS
TS
Ch
air
s: T
. W
ata
nab
e, Y
. G
eo
rgie
v
SESSIO
N B
10:
MIC
RO
FABRIC
ATIO
NC
hair
: N
. K
eh
ag
ias,
V. V
am
vakas
SESSIO
N C
10:
SEN
SO
RS &
MEM
SC
hair
s: H
. N
ish
ikaw
a, P.D
imit
rakis
SESSIO
N D
10:
CH
EM
. SEN
SO
RS &
BIO
SEN
SO
RS II
Ch
air
s: K
. M
isia
ko
s, P
. P
etr
ou
15:3
0A
10-1
Ne
w P
DM
AE
MA
ba
sed
blo
ck c
op
o-
lym
ers
fo
r e
me
rgin
g n
an
ote
chn
ol-
og
ies
An
ast
asi
a N
ika1,
2, T
he
od
ore
Man
ou
ras3
, P
an
ag
ioti
s A
rgit
is2, M
ari
a V
am
vakaki3
,4,
Marg
ari
ta C
hatz
ich
rist
idi1
1 Nat
ion
al A
nd
Kap
od
istr
ian
Un
iver
sity
Of
Ath
-en
s, 2
Inst
itu
te o
f N
ano
scie
nce
an
d N
ano
tech
-n
olo
gy,
N
CS
R
“Dem
okr
ito
s”,
3D
epar
tmen
t o
f M
ater
ials
S
cien
ce
and
Te
chn
olo
gy,
U
niv
ersi
ty
of
Cre
te,
4In
stit
ute
of
Ele
ctro
nic
Str
uct
ure
an
d
Las
er,
Fo
un
dat
ion
fo
r R
esea
rch
and
Tec
hn
olo
-g
y -
Hel
las
B10
-1M
an
ufa
ctu
rin
g
of
loc
al
de
fin
ed
n
an
o-
an
d
mic
rost
ruc
ture
s fo
r se
mic
on
du
cto
r d
ev
ice
s b
y d
ew
et-
tin
g p
he
no
me
na
Ow
en
Ern
st1 ,
Kath
ari
na E
yle
rs1 ,
Fe
lix
Lan
ge
1,2, J
örn
Bo
nse
3, J
örg
Krü
ge
r3,
To
rste
n B
oe
ck
1
1 Leib
niz
-In
stit
ut
für
Kri
stallz
üch
tun
g
(IK
Z),
B
erl
in,
Germ
an
y,
2B
ran
den
bu
rgis
che
Tech
-n
isch
e
Un
ivers
ität
C
ott
bu
s-S
en
ften
berg
(B
TU
C
S),
S
en
ften
berg
, G
erm
an
y,
3B
un
de
-sa
nst
alt
fü
r M
ateri
alf
ors
chu
ng
un
d -
prü
fun
g
(BA
M),
Berl
in, G
erm
an
y
C10
-1H
igh
ly s
en
siti
ve
an
d s
ele
cti
ve
NO
2
ga
s se
nso
r u
sin
g
pa
tte
rne
d
FT
O
ele
ctr
od
es
Yo
ojo
ng
Kim
1 , S
o-Y
ou
ng
Bak
1 , Je
on
gse
ok L
ee
1 , S
e-H
ye
on
g L
ee
1 , K
yo
un
gw
an
Wo
o1 ,
San
gh
yu
n L
ee
2,
Mo
on
suk Y
i1
1 De
part
men
t O
f E
lect
ron
ics
En
gin
eeri
ng
, P
u-
san
Nat
ion
al
Un
ivers
ity,
Geu
mju
ng
gu
, S
ou
th
Ko
rea
, 2D
ep
art
men
t o
f S
mart
Hyb
rid
En
gi-
ne
eri
ng
, P
usa
n
Nat
ion
al
Un
ivers
ity,
G
eu
m-
jun
gg
u, S
ou
th K
ore
a
D10
-1E
ng
ine
eri
ng
li
gh
t co
lle
cti
on
v
ol-
um
es
wit
h
mic
rost
ruc
ture
d
ta-
pe
red
o
pti
ca
l fi
be
rs
for
op
tic
al
rea
do
ut
of
ne
ura
l a
cti
vit
y
mo
ni-
tori
ng
Em
an
ue
la M
ag
lie1,
2, M
arc
o P
isan
ello
1 , F
ilip
po
Pis
an
o1 ,
An
ton
io B
ale
na1,
2,
Marc
o B
ian
co
1,2, B
arb
ara
Sp
ag
no
lo1 ,
Be
rnard
o L
Sab
ati
ni3
, Mass
imo
De
Vit
tori
o1,
2, F
err
uccio
Pis
an
ello
1
1 Isti
tuto
Italia
no
di T
ecn
olo
gia
, Cen
ter
for
Bio
-m
ole
cula
r N
an
ote
chn
olo
gie
s, A
rnesa
no
, Ita
ly,
2D
ipart
imen
to d
i In
geg
neri
a d
ell’
Inn
ova
zio
ne
, U
niv
ers
ità
del
Sale
nto
, Le
cce
, It
aly
, 3D
ep
art
-m
en
t o
f N
eu
rob
iolo
gy,
Ho
ward
Hu
gh
es
Me
d-
ical I
nst
itu
te H
arv
ard
Me
dic
al S
cho
ol,
Bo
sto
n,
US
A
15:4
5A
10-2
Ev
alu
ati
on
o
f R
E-6
50
a
s a
p
osi
-ti
ve
to
ne
re
sist
fo
r e
lec
tro
n b
ea
m
lith
og
rap
hy
wit
h h
igh
pla
sma
etc
h
du
rab
ilit
yM
ing
sai Z
hu
1 , M
eic
ho
ng
Yu
2, J
ian
an
D
en
g1 ,
Yu
yin
g X
ie1 ,
Yif
an
g C
he
n1
1 Nan
olit
ho
gra
ph
y an
d
ap
plic
atio
n
rese
arc
h
gro
up
, S
cho
ol
of
Info
rmat
ion
S
cien
ce
an
d
Tech
no
log
y, F
UD
AN
, C
hin
a,
2H
an
-To
p P
ho
-to
-mat
eri
als
C
B10
-2T
em
pla
ted
de
we
ttin
g o
f u
ltra
-lo
ng
w
ire
s fo
r a
Si-
ba
sed
cir
cuit
M
on
ica B
olla
ni1 ,
Marc
o S
alv
ala
glio
2,
Ab
de
nn
ace
r B
en
ali3
, Mo
ham
me
d
Bo
uab
de
llao
ui3
,4, A
lexe
y F
ed
oro
v1 ,
Axe
l Vo
igt2
, Lu
c F
avre
3, J
ean
Be
no
it
Cla
ud
e3, D
avid
Gro
sso
2, A
nto
ine
Ro
nd
a3, I
sab
elle
Be
rbezi
er3
, Marc
o
Ab
barc
hi3
1 Inst
itu
te o
f P
ho
ton
ics
an
d N
an
ote
chn
olo
gie
s o
f C
NR
(IF
N -
CN
R),
Co
mo
, 2In
stit
ute
of
Sci
-en
tifi
c C
om
pu
tin
g,
Tech
nis
che
Un
ivers
itat
, D
resd
en
, 3IM
2N
P o
f C
NR
S,
Mars
eill
e,
4L
ab
o-
rato
ry o
f P
hys
ics
of
Co
nd
en
sed
Mat
ter
an
d
Ren
ew
ab
le
En
erg
y,
Hass
an
II
Un
ivers
ity
of
Casa
bla
nca
, Mo
ham
me
dia
, Mo
rocc
o
C10
-2S
ing
le-M
od
e P
oly
me
r R
idg
e W
av
e-
gu
ide
In
teg
rati
on
of
Org
an
ic T
hin
-F
ilm
La
ser
Mark
o C
eh
ovsk
i1,3, J
ing
Be
cke
r2, O
uacef
Ch
arfi
1,3, P
asc
al P
ort
en
1 , H
an
s-H
erm
an
n
Jo
han
ne
s1,3, C
laas
Mü
ller2
, Wo
lfg
an
g
Ko
wals
ky
1,3
1 TU
Bra
un
sch
weig
, IH
F,
Bra
un
sch
weig
, G
er-
man
y, 2
Un
ivers
ity
of
Fre
ibu
rg,
FIT
, F
reib
urg
, G
erm
an
y, 3
Clu
ster
of
Exc
elle
nce
Ph
oen
ixD
,
Han
no
ver, G
erm
an
y
D10
-2E
lec
tro
che
mic
al
Se
nsi
ng
Ba
sed
on
In
kje
t-P
rin
ted
Re
du
ced
Gra
ph
en
e
Ox
ide
on
a F
lex
ible
Su
bst
rate
Ham
ed
Sh
am
kh
alic
he
nar1 ,
Jin
-Wo
o
Ch
oi1,
2
1 Lo
uis
ian
a S
tate
U
niv
ers
ity,
B
ato
n
Ro
ug
e,
Un
ite
d S
tate
s, 2
Cen
ter
for
Ad
van
ced
Mic
ro-
stru
ctu
res
an
d D
evi
ces
Lo
uis
ian
a S
tate
Un
i-ve
rsit
y, U
nit
ed
Sta
tes
52
Sci
entifi
c P
rog
ram
TH
URSD
AY S
EPTEM
BER 2
6th, 2019
16:0
0A
10-3
En
vir
on
me
nta
lly
fri
en
dly
na
no
fab
-ri
ca
tio
n w
ith
ce
llu
lose
an
d w
ate
rD
ore
C1 ,
Osm
on
d J
2, M
ihi A
1
1 ICF
O-T
he In
stit
ute
of
Ph
oto
nic
Sci
en
ces,
2In
-st
itu
t d
e C
ièn
cia
de M
ateri
als
de B
arc
elo
na
, S
pain
B10
-3D
ep
osi
tio
n
an
d
op
tim
iza
tio
n
of
Sch
ott
ky
ju
nc
tio
ns
by
A
tom
ic
La
ye
r D
ep
osi
tio
n
for
pie
zotr
on
ic
stra
in s
en
sors
Rao
ul J
oly
1,2, S
tép
han
ie G
iro
d1 ,
No
ure
dd
ine A
dje
rou
d1 ,
Tai N
gu
ye
n1,
2,
Kevin
Me
ng
ue
lti1 ,
Mo
ham
ed
El
Hach
em
i1 , P
atr
ick G
rysa
n1 ,
Sé
bast
ien
K
lein
1 , Jé
rôm
e P
ole
sel1
1 Lu
xem
bo
urg
In
stit
ute
of
Sci
en
ce a
nd
Te
ch-
no
log
y (L
IST
), B
elv
au
x,
Lu
xem
bo
urg
, 2U
ni-
vers
ity
of
Lu
xem
bo
urg
, L
imp
ert
sberg
Cam
-p
us,
Lu
xem
bo
urg
C10
-3A
ME
MS
ba
sed
ca
pa
citi
ve
re
son
a-
tor
de
sig
ne
d f
or
the
de
tec
tio
n o
f th
e t
arg
et
an
aly
te
Se
rdar
Tez
Pam
ukk
ale
U
niv
ers
ity,
E
ng
ine
eri
ng
F
acu
lty,
D
ep
art
men
t o
f E
lect
ric
An
d E
lect
ron
ics
En
gi -
ne
eri
ng
, Den
izli,
Tu
rkey
D10
-3M
icro
ele
ctr
od
e
Arr
ay
s w
ith
In
-te
gra
ted
P
ne
um
ati
c C
av
itie
s fo
r E
lec
tro
de
Po
siti
on
Co
ntr
ol
in R
et-
ina
l Pro
sth
esi
sY
uan
hao
Xu
, Ste
lla W
. Pan
gC
ity
Un
ivers
ity
of
Ho
ng
Ko
ng
, Ko
wlo
on
To
ng
, K
ow
loo
n, H
on
g K
on
g
16:1
5A
10-4
Sy
nth
esi
s a
nd
P
ho
toli
tho
gra
ph
-ic
C
ha
rac
teri
zati
on
o
f P
he
no
lic
Mo
lecu
lar
Re
sist
s u
nd
er
Ele
c-tr
on
-be
am
an
d E
xtr
em
e U
V I
rra
di-
ati
on
Jin
-Ky
un
Le
e1 ,
Je
on
g-S
eo
k M
un
1 , H
yu
n-
Tae
k O
h1 ,
Kan
gh
yu
n K
im2, S
an
gsu
l Le
e2
1 Inh
a U
niv
ers
ity,
In
che
on
, S
ou
th K
ore
a,
2P
o-
han
g A
ccele
rato
r L
ab
ora
tory
, P
ost
ech
, P
o-
han
g, S
ou
th K
ore
a
B10
-4P
att
ern
ing
P
lati
nu
m
usi
ng
C
MP
a
nd
p
lasm
a
etc
hin
g
ind
ust
ria
lly
co
mp
ati
ble
pro
cess
es
Ad
ham
Els
hae
r, R
om
ain
Str
ich
er,
Maxim
e D
arn
on
, Do
min
iqu
e D
rou
in,
Se
rge E
co
ffey
LN
2,
CN
RS
, U
niv
ers
ité d
e S
herb
roo
ke,
3IT
, S
herb
roo
ke, C
an
ad
a
C10
-4In
teg
rati
on
of
pie
zoe
lec
tric
na
no
-st
ruc
ture
s w
ith
M
EM
S
by
in
kje
t p
rin
tin
gM
arc
Go
mez, C
hri
stin
a M
art
inez,
Marc
os
Du
qu
e, A
na M
oya
, Go
nzalo
M
uri
lloM
icro
ele
ctro
nic
s In
stit
ute
of
Barc
elo
na
, B
el-
late
rra
, Sp
ain
D10
-4E
ffe
cts
of
the
Aci
d-b
ase
pro
pe
r-ty
of
the
Do
pa
nt
on
th
e S
nO
2 G
as
Se
nso
rZ
he
ny
u Y
uan
, Ru
i Li,
Lia
ng
yu
Fu
, W
en
hu
i Ch
uai,
Zh
on
gm
ing
Gu
o,
Zh
ao
yin
Tu
, Fan
bo
Ko
ng
No
rth
east
ern
U
niv
ers
ity,
C
hin
a,
Sh
en
yan
g,
Ch
ina
16:3
0-1
6:3
5S
HO
RT
BR
EA
K
53
Mic
ro &
Nan
o E
ngin
eerin
gIn
tern
ati
on
al
Co
nfe
ren
ce o
n23
-26
2019
Sep
tem
ber
Rhod
es, G
reec
e
Sci
entifi
c P
rog
ram
TH
URSD
AY S
EPTEM
BER 2
6th, 2019
JU
PIT
ER
HA
LL
Ch
air
s: P
. D
imit
rakis
, E
. G
og
olid
es
16:3
5-1
7:2
0
Ple
na
ry 8
Ne
w M
ate
ria
ls a
nd
De
vic
es
for
Inte
rfa
cin
g w
ith
th
e B
rain
G
eo
rge M
alli
ara
sU
niv
ers
ity o
f C
am
bri
dg
e, U
K
17:2
0-1
7:3
0
AN
NO
UN
CE
ME
NT
S A
ND
CL
OS
ING
RE
MA
RK
S
54
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)
55EM
2019
International Conference on
N
Poster Sessions
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PA – Nanopatterning
PA01Efficient Fabrication of Soft Polymer Microcantilevers from Dry Film Photoresist for Chemical Sensor ApplicationsMadeleine Nilsen1, Steffen Strehle2
1Ulm University, 2Ilmenau University of Technology
PA05Comparative study of theoretical contrast between extreme ultraviolet and electron beam lithographyKanghyun Kim1, Byeong-Gyu Park2, Hyun-Taek Oh3, Jin-Kyun Lee3, Geunbae Lim1, Sangsul Lee2
1Postech, 2Pohang Accelerator Laboratory(PAL), 3Inha University
PA07Machine learning based technique towards smart laser fabrication of CGHAggeliki Anastasiou1, Evangelia Zacharaki2, Dimitris Alexandropoulos1, Konstantinos Moustakas2, Nikolaos Vainos1
1Dept. of Material Science, University Of Patras, 2Dept. of Electrical and Computer Engineering, University Of Patras
PA09Fabrication of Holographic Optical Elements on Silver by Nanosecond IR Laser SourceDimitris Alexandropoulos1, Simone Mazzucato2, Vaggelis Karoutsos1, Christina (Tanya) Politi3, Nikos Vainos1
1Dept. of Material Science, University Of Patras, 2SISMA S.p.A., 3Dept. of Informatics and Telecommunications, University of Peloponnese
PA11Localized Laser Pyrolysis of SU-8 by Addition of AbsorberEmil Ludvigsen1, Nina Ritter Pedersen1, Xiaolong Zhu2, Rudolphe Marie2, David M. A. Mackenzie3, Dirch H. Pedersen3, Anders Kristensen2, Jenny Emnéus4, Stephan Sylvest Keller1
1DTU Nanolab, Technical University Of Denmark, 2DTU Health Tech, Technical University of Denmark, 3DTU Physics, Technical University of Denmark, 4DTU Bioengineering, Technical University of Denmark
PA13E-beam lithography (EBL) with conductive layer between resist and sapphire substrateSilvia Diewald, Gernot GollCenter for Functional Nanostructures – Nanostructure Service Laboratory (CFN-NSL), Karlsruhe Institute of Technology (KIT)
PA15Comparison of positive and negative high resolution e-beam processes for the fabrication of nanoconstrictionsMaik Gerngroß3, Franziska Grüneberger3, Stephanie Lake1, Philip Dürrenfeld1, Frank Heyroth2, Matthias Schirmer3, Georg Schmidt1,2
1Institut für Physik, Martin-Luther-Universität Halle-Wittenberg, 2Interdisziplinäres Zentrum für Materialwissenschaften, Martin-Luther-Universität Halle-Wittenberg, 3Allresist GmbH
PA – Nanopatterning
PA02 ΑquaSAVE™: Antistatic Agent for Electron Beam LithographyTakahiro Mori, Akira YamazakiMitsubishi Chemical Corporation
PA04 Novel Lift-off Process for DUV Displacement Talbot LithographyMariusz Graczyk, Victor J. Gómez, Maria Huffman, Ivan MaximovSolid State Physics, University of Lund
PA06SU-8 alternative - Atlas 46 and enhanced processing for electroplating applicationsFranziska Grüneberger1, Maik Gerngroß1, Matthias Schirmer1, Bozena Matuskova2, Martin Eibelhuber2, Tobias Zenger2, Thomas Uhrmann2, Martin Weinhart2
1Allresist GmbH, 2EV Group
PA08Laser printing of Cu electrical circuits on glass substratesKonstantina Tourlouki, Dimitris AlexandropoulosDept. of Material Science, University of Patras
PA10High-throughput DTL/optical-hybrid lithography for fabricating high-density silicon nanopillar arrays for field emissionDirk Jonker1, R. M. Tiggelaar3, J. W. Berenschot1, N. R. Tas1, A. van Houselt2, H. J. W. Zandvliet2, J. G. E. Gardeniers1
1Mesoscale Chemical Systems group, University of Twente, 2Physics of Interfaces and Nanomaterials group, University of Twente, 3NanoLab cleanroom, University of Twente
PA12Nanofabrication of thick zone plates for hard X-ray optics using SML resistWang Zhuangzhuang, Shanshan Xie, Jingyuan Zhu, Yifang ChenFudan University
PA14Recent advances in ice lithography for 3D nanofabricationDing Zhao1, Anna Elsukovaa1, Marietta Batzer2, Brendan Shields2, Patrick Maletinsky2, Marco Beleggia1, Anpan Han3
1DTU Nanolab, Technical University of Denmark, 2Department of Physics, University of Basel, 3Department of Mechanical Engineering, Technical University of Denmark
PA16HSQ alternative Medusa 82 for gray-scale lithographyMaik Gerngroß1, Franziska Grüneberger1, Matthias Schirmer1, Paul Voigt2, Uwe Hübner2
1Allresist GmbH, 2Leibniz-Institute of Photonic Technology
56
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PA17An optimal dosage test in electron beam lithography for GaN nanoLEDs fabrication Syed Asad Ali Zaidi1, Tony Granz1,2, Jan Gulink1,2, Klaas Strempel1,2, Hutomo Suryo Wasisto1,2, Andreas Waag1,2
1Institute Of Semiconductor & Technology, 2 Laboratory for Emerging Nanometrology
PA19High throughput Mix and Match nano lithography based on Scanning Laser Beam -, Field-Emission Scanning Probe-, and Nano Imprint LithographyMartin Hofmann1, Laura Weidenfeller2, Shraddha Supreeti3, Johannes Kirchner2, Mathias Holz4, Christoph Reuter4, Stephan Mechold1, Eberhard Manske2, Ivo W. Rangelow1
1Ilmenau University of Technology, Department of Micro- and Nanoelectronic Systems, Gustav-Kirchhoff-Str. 1, 98693 Ilmenau, Germany, 2Ilmenau University of Technology, Institute for Process Measurement and Sensor Technology, Gustav-Kirchhoff_-Str. 1, 98693 Ilmenau, Germany, 3Ilmenau University of Technology, Department of Microsystems Technology, Max-Plack-Ring 12, 98693 Ilmenau, Germany, 4Nanoanalytik GmbH, Ehrenbergstr. 1, 98693 Ilmenau, Germany
PA21Power-law short-range point-spread function in electron-beam lithographyMarcus Albrechtsen1, Søren Stobbe1,2
1Department of Photonics Engineering, DTU Fotonik, Technical University Of Denmark, 2Beamfox Technologies ApS
PA23Sub40 nm planar Al nanowires using two-layer resis stacksFotis Kalaitzakis1, George Papageorgiou1, V. Ryazanov2, K. Arutunov3, Pascal Normand1, Panagiotis Dimitrakis1
1Institute of Nanoscience & Nanotechnology, NCSR “Demokritos”, 2Institute of Solid State Physics, Russian Academy of Sciences, 3Institute for Electronics and Mathematics, High School of Economics
PA25Fluorescent ionic liquid structures fabricated by e-beam lithographyDominik Kowal1, Krzysztof Rola1, Joanna Cybinska1,2, Marcin Skorenski1, Adrian Zajac3, Marcin Smiglak3, Slawomir Drobczynski4, Katarzyna Komorowska1,4
1Lukasiewicz Research Network - PORT Polish Center For Technology Development, 2Faculty of Chemistry, University of Wroclaw, 3Poznan Science and Technology Park, 4Faculty of Fundamental Problems of Technology, Wroclaw University of Science and Technology
PA27Impact of plasma treatment on the pattern fidelity of nanostructured polymer surfacesMartin Eibelhuber1, Anna Dudus1, Jacek Gasiorowski1, Ruxandra-Aida Barb1, Christine Thanner1, Stephan Martens2, Julian Hartbaum2
1Evgroup, 2Insitut für Mikroelektronik Stuttgart (IMS CHIPS)
PA18Magnetic skyrmions in thin Co/Pt/Au multilayer nanodots inspected by a tailored magnetic probeJan Soltys, Iuliia Vetrova, Tomas Scepka, Michal Mruczkiewicz, Jan Derer, Stefan Gazi, Vladimir CambelInstitute of Electrical Engineering
PA20Preparation of Micro- and Nanostructures by Ion or Electron Beam Lithography and Following Selective Wet EtchingTomáš Šamořil1, T. Šikola2
1Central European Institute of Technology, 2Institute of Physical Engineering, Brno University of Technology
PA22Resistless SixN patterns fabrication by e-beam lithography Kornelia Indykiewicz, Bogdan Paszkiewicz, Regina PaszkiewiczWroclaw University of Science and Technology
PA26Fabrication and application of high-performance flexible transparent nanomesh electrodesSung-il Chung1, Pan Kyeom Kim1, Tae-gyu Ha1, Eung Sug Lee2, Kwang Young Kim2
1Korea Electrotechnology Research Institute, 2Korea Institute of Machinery & Materials
PA28Transfer durability of line-patterned replica mould made of high hardness UV-curable resinTetsuma Marumo, Jun TaniguchiTokyo University of Science
PA30Fabrication of composite-electrode for SOFC via ultra violet nanoimprint lithographyRyota Akama1, Takao Okabe1, Kazuyoshi Sato2, Naoki Shikazono3, Jun Taniguchi11Tokyo University of Science, 2Gunma University, 3Institute of Industrial Science, The University of Tokyo
PA32Blue light nanoimprint lithography for patterning a positive-tone EB resistTakao Okabe, Haruki Matsumoto, Jun TaniguchiTokyo University of Science
PA34Hot punching: A versatile tool to fabricate microparticlesRitika Petersen1,2, Stephan Keller1,2, Anja Boisen2
1DTU Nanolab, Technical University of Denmark, 2DNRF and Villum Fonden Center for Intelligent Drug Delivery and Sensing Using Microcontainers and Nanomechanics, IDUN, DTU Health Tech
57
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PA36Imprint-induced grain growth in perovskite layersAndre Mayer, Neda Pourdavoud, Tobias Haeger, Ralf Heiderhoff, Miriam Leifels, Johannes Rond, Johannes Staabs, Patrik Görrn, Thomas Riedl, Hella-Christin ScheerUniversity of Wuppertal
PA38Shear force measurement of actuated, gecko-inspired adhesion elements with hierarchical PDMS pattern Joachim Zajadacz1, Klaus Zimmer1, Pierre Lorenz1, Andre Mayer2, Marc Papenheim2, Hella-Christin Scheer2
1Leibniz-Institute of Surface Engineering, 2School of Electrical, Information and Media Engineering, University of Wuppertal,
PA40Combining Multilayer Multimaterial Nanoimprinting and Inkjet PrintingMichael Mühlberger, Amiya Ranjan Moharana, Helene Außerhuber, Sonja Kopp, Tina Mitteramskogler, Daniel FechtigPROFACTOR GmbH
PA42Molecular simulation study of demolding process in UV nanoimprintMasanori Koyama, Keisuke Nakajima, Masamitsu Shirai, Hiroaki Kawata, Yoshihiko Hirai, Masaaki YasudaOsaka Prefecture University
PA44Nanoimprint Lithography as New Route towards 3-dimensionally structured substrates for in-vitro cell culturesPatrick Schuller1, M. Rothbauer1, Peter Ertl1, A. Moharana2, Michael Muehlberger2, E. Bertagnolli1, Heinz Wanzenboeck1
1TU Wien - Vienna University of Technology, 2Profactor GmbH
PA46Flexible Fabrication Method of Waveguide Integrated Laser Source by CNP Process Jing Becker1,4, Marko Čehovski2,3, Reinhard Caspary2,3, Hans-Hermann Johannes2,3, Wolfgang Kowalsky2,3, Claas Mueller1,4
1Freiburger Zentrum für interaktive Werkstoffe und bioinspirierte Technologien (FIT), Albert-Ludwigs-Universität Freiburg, 2Institut für Hochfrequenztechnik, Technische Universität Braunschweig, 3Cluster of Excellence PhoenixD (Photonics, Optics, and Engineering – Innovation Across Disciplines), 4Servicecenter Mechanische Mikrofertigung, IMTEK, Albert-Ludwigs-Universität Freiburg
PA48Towards faster self-assembly in block copolymer films: the use of plasma treatmentElsa Giraud1,2, Tandra Ghoshal1,2, M.A. Morris1
1Department of Chemistry, University College Cork, 2School Of Chemistry, AMBER, Trinity College Dublin
PA29Fabrication of microchannel via UV-NIL and EBL using UV curable positive-tone EB resistHaruki Matsumoto, Takao Okabe, Professor Jun TaniguchiTokyo University of Science
PA31Moth-eye structured mould using sputtered glassy carbon layer for large scale applicationTomoya Yano1, Hiroyuki Sugawara2, Jun Taniguchi11Tokyo University of Science, 2GEOMATEC Co., Ltd.
PA33Analysis of surface cracks in VUV-hardened PDMS by means of video evaluationMiriam Leifels, Andre Mayer, Patrick Görrn, Hella-Christin Scheer
University Of Wuppertal
PA39Magnetic- plasmonic nanoparticles fabricated with high throughput step and repeat nanoimprint lithography Michael Haslinger1, Tina Mitteramskogler1, Astrit Shoshi2, Stefan Schrittwieser3, Joerg Schotter3, Hubert Brueckl2, Michael Muehlberger1
1Profactor Gmbh., 2Danube University Krems, Department for Integrated Sensor Systems, 3AIT Austrian Institute of Technology, Molecular Diagnostics
PA41Computational study on molecular size dependence on pressing and de-molding process in nanoimprint lithographReo Sakata1, Masaaki Yasuda1, Yuya Miyashita1, Kazuhiro Tada2, Masamitsu Shirai1, Hiroaki Kawata1, Yoshihiko Hirai1
1Osaka Prefecture University, 2National Institute of Technology, Toyama College
PA43Displacement Talbot Lithography for Fabrication of Large Area Nanoimprint StampsMariusz Graczyk, Muhammad Asif, Ivan MaximovLund University
PA45A novel process to realize 4H-SiC nanowire arraysMaria Androulidaki2, Maximilien Cottat1, Antonios Stavrinidis2, Cecile Gourgon1, Camille Petit-Etienne1, Edwige Bano3, George Konstantinidis2, Jumana Boussey1, Konstantinos Zekentes2,3
1Laboratoire des Technologies de la Microélectronique, 2MRG-IESL/ FORTH, Vassilika Vouton, PO Box 1385 Heraklion, Greece, 3Grenoble-INP, IMEP-LAHC
PA49STM imaging enhancements for better features identificationEhud Fuchs, Joseph Lake, James Owen, John RandallZyvex Labs
58
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PA52Process optimization of Medusa 82 resist by electron beam lithographyTh. Mpatzaka1, Grigorios Konstantinos Zisis1,2, Vasilis Vamvakas2, Ioannis Raptis1,2, George Papageorgiou2, C. Kaiser3, T. Mai3, Mr. Matthias Schirmer3, Maik Gerngroß3
1ThetaMetrisis SA, 2NCSR “Demokritos”, 3Allresist GmbH
PA54Time- and cost-effective fabrication of micro-structured sample holders for serial crystallography experimentsMiriam Barthelmess1, Ville Nissinen2, Petri Karvinen2, Pontus Fischer1, Tim Pakendorf1, Karol Bustos1, Gisel Peña1, Henry N. Chapman1, Alke Meents1,2
1Center for Free-Electron Laser Science, Deutsches Elektronen Synchrotron DESY, 2Institute of Photonics, University of Eastern Finland
PA56A new specifically tailored resist for UV-NIL using gas permeable soft stamp and a study of its etching behaviour on fused silica substratesShuhao Si1, Martin Messerschmidt2, Manuel Thesen2, Arne Schleunitz2, Gabi Grützner2, Stefan Sinzinger1
1Technische Universität Ilmenau, 2micro resist technology GmbH
PA60Deep reactive ion etching of grass-free widely spaced periodic 2D structureChantal Myrielle Silvestre, Henri Jansen, Ole HansenTechnical University of Denmark, DTU Nanolab
PA62Fabrication of decorated nanopillar arrays for silicon light trapping enhancement in solar cell applicationsJordi Llobet1, Carlos Calaza1, Mariana Antunes1, Helder Fonseca1, Sofia Martins1, Yevgeny Faingold2, Shay Fadida2, Ashish Prajapati2, Gil Shalev2, João Gaspar1
1International Iberian Nanotechnology Laboratory, 2Ben-Gurion University of the Negev
PA64Cryogenic etching for large area pattern transfer into silicon of Mix-and-Match structured resist layersLaura Weidenfeller1, Martin Hofmann2, Johannes Kirchner1, Mathias Holz3, Christoph Reuter3, Stephan Mechold2, Eberhard Manske1, Ivo W. Rangelow2
1Ilmenau University of Technology, Institute for Process Measurement and Sensor Technology, 2Ilmenau University of Technology, Department of Micro- and Nanoelectronic Systems, 3Nanoanalytik GmbH
PA66Low-temperature etching of porous low-k dielectrics in C2F4Br2 plasmaAndrey Miakonkikh1,2, Askar Rezvanov2,3, Alexey Vishnevskiy4, Konstantin Rudenko1,2
1Valiev Institute For Physics And Techology of Russian Academy of Sciences, 2Moscow Institute of Physics and Technology (MIPT), 3Molecular Electronics Research Institute (JSC MERI), 4MIREA – Russian Technological University (RTU MIREA)
PA53Modified fluorous developer solutions with additives for Orthogonal Photolithography of organic light emitting diode displaysJongchan Son1, Han Young Shin2, Yu Min Choi2, Jin-Kyun Lee1, Byung Jun Jung2
1Inha University, 2University of Seoul
PA55Brilliant Fluorescent Resists for E-beam and Photolithographic ApplicationsFranziska Grüneberger1, Maik Gerngroß1, Matthias Schirmer1, Thomas Steglich2, Philipp Bastian3, Marita Steffen3, Michael Kumke3
1Allresist GmbH, 2Präzisionsoptik Gera GmbH, 3Physical Chemistry, Institute of Chemistry, University of Potsdam
PA57In-situ monitoring of development step of high-resolution e-beam resistsTheodora Mpatzaka1, Grigoris Zisis1,2, George Papageorgiou2, Dimitrios Goustouridis1,3, Ioannis Raptis1,2
1ThetaMetrisis SA, Athens, Greece, 2INN, NCSR ‘Demokritos’, Athens, Greece, 3Dept. Electrical & Electronics Eng., University of West Attica, Athens, Greece
PA59Electron beam direct writing of polymer microstructures using a solvent-free ionic liquid as a resistKrzysztof Rola1, Adrian Zajac2, Andrea Szpecht2,3, Joanna Cybinska1,4, Marcin Smiglak2, Katarzyna Komorowska1,5
1LUKASIEWICZ Research Network – PORT Polish Center For Technology Development, 2Poznan Science and Technology Park, 3Faculty of Chemistry, Adam Mickiewicz University, 4Faculty of Chemistry, University of Wroclaw, 5Faculty of Fundamental Problems of Technology, Wroclaw University of Science and Technology
PA61Vertical High Aspect Ratio Silicon Via Etching for TSV Applications Stokeley K1, Ren Z1
1Oxford Instruments, Bristol, United Kingdom
PA63Surface functionalization by patterning and etching of metals using chlorine plasmasGuillaume Le Dain1, Feriel Laourine2, Ahmed Rhallabi1, Aurelie Girard1, Christophe Cardinaud1, Thierry Czerwiec2, Stephane Guilet3, Daniel Turover4, Gregory Marcos2
1Institut Des Materiaux Jean Rouxel, Cnrs, 2Institut Jean Lamour, 3Centre de Nanosciences et Nanotechnologies, 4SILSEF
59
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PA68Anisotropic plasma etching of Silicon in gas chopping process by alternating steps of oxidation and etchingAndrey Miakonkikh, Sergey Averkin, Konstantin Rudenko, Vladimir LukichevValiev Institute for Physics and Techology of Russian Academy of Sciences
PA70Optimum design of a 740 mm-long lens mount for fast line-beam proximity exposure process producing fine patterns with 5 μm widthChangKyu Lee1, Hyeondong Yang1, Sang Gil Ryu2, Je Hoon Oh1
1Hanyang University, 2Philoptics Co. Ltd
PA72High throughput AFM wafer inspection with parallel active cantileversMathias Holz2, Christoph Reuter2, Ahmad Ahmad2, Martin Hofmann1, Alexander Reum2, Tzvetan Ivanov1, Stephan Mechold1, Ivo W. Rangelow1
1Department of Micro- and Nanoelectronic Systems, 2Nanoanalytik GmbH
PA74Improving the accuracy of Line Edge Roughness measurement using Hidden Markov ModelsGeorge Papavieros1,2,4, Vassilios Constantoudis1,2, Ioannis Kontoyiannis3, Eva Giannatou2, Evangelos Gogolides1,2
1NCSR Demokritos/ Institute of Nanoscience and Nanotechnology, 2Nanometrisis P.C., 3University of Cambridge, 4Aristotle University of Thessaloniki, Thessaloniki
PA76SEM Inspection of Nanowire Devices: Contact Inspection, Resistance and Capacitance Measurement and Buckling EvaluationTakeyoshi Ohashi1, Kazuhisa Hasumi2, Masami Ikota2, Gian Lorusso3, Hans Mertens3, Liesbeth Witters3, Naoto Horiguchi31Hitachi, Ltd., 2Hitachi High-Technologies Corporation, 3imec
PA78Titanium Nitride Plasmonic Surfaces via Nanosphere LithographySpyros Kassavetis1, S. Panos2, D. Tselekidou1, A. Theodosiou1, P. Patsalas2
1Nanotechnology Lab LTFN, Physics Department, Aristotle University of Thessaloniki, Thessaloniki, 2Physics Department, Aristotle University of Thessaloniki, Thessaloniki
PA65A short post-processing method for high aspect ratio trenches after Bosch etchingHenk-Willem Veltkamp1, Yiyuan Zhao1, Meint de Boer1, Remco Wiegerink1, Joost Lötters1,2
1University of Twente, 2Bronkhorst High-Tech BV
PA67Cobalt subtractive etch for advanced interconnectsAlexander Rogozhin, Andrey Miakonkikh, Andrey Tatarintsev, Konstantin RudenkoValiev Institute of Physics and Technology Of Russian Academy of Sciences
PA69A study of the effect of bilayer resist sensitivity difference on the T shape gate profilesYuying Xie, Zhiqiang Chen, Jianan Deng, Mingsai Zhu, Yifang ChenNanolithography and Application Research Group, Fudan University
PA71Direct Monte-Carlo simulation of dry e-beam etching of resistAlexander Rogozhin1, Fedor Sidorov1,2, Mark Bruk1, Eugene Zhikharev1
1Valiev Institute of Physics and Technology of Russian Academy of Sciences, 2Moscow Institute of Physics and Technology
PA73AFM integrated with a SEM for correlative imaging, 3D-metrology and nanofabricationMartin Hofmann1, Mathias Holz2, Alexander Reum2, Laura Weidenfeller3, Stephan Mechold1, Eberhard Manske3, Tzvetan Ivanov1, Ivo W. Rangelow1
1Department of Micro- and Nanoelectronic Systems, 2Nanoanalytik GmbH, 3Institute for Process Measurement and Sensor Technology
PA75AFM tip shape characterization and measurement correction through the use of e-beam nanopillar standards with optimized sharpnessGeorge Papageorgiou1, V. Constantoudis1,2, R. Koops3, P. Dimitrakis1
1NCSR “Demokritos”, 2Nanometrisis p.c., 3VSL
PA77A hybrid modeling framework for the investigation of roughness formation during plasma etching of polymeric surfacesGeorge Memos1,2, Elefterios Lidorikis2, George Kokkoris1
1Institute of Nanoscience and Nanotechnology NCSR “Demokritos”, 2Department of Materials Science and Engineering, University of Ioannina
60
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PB – Nanofabrication
PB02Nanostructure fill with conductive transparent oxide with supercritical CO2 intending to applying to porous Si-based light emitting devicesEiichi Kondoh1, Akemi Shioda1, Lianhua Jin1, Bernard Gelloz2
1University of Yamanashi, 2Nagoya University
PB04Towards Faster EBID Growth Using MeCpPtMe3 in a Desktop SEMAya Mahgoub, C.W HagenTu Delft
PB08Silicon Oxide Compatible Etching Process For Oxynitride And Silicon NitrideIrina Kiryushina, Andrey Islyaykin, Yury OzerinMERI JSC
PB10Study on X-ray radiolysis-induced-chemical reaction at interface between liquid and substrate for additive manufacturing processAkinobu Yamaguchi1, Ikuya Sakurai2, Ikuo Okada2, Mari Ishihara3, Takao Fukuoka1, Kelvin Elphick4, Edward Jackson4, Atsufumi Hirohata4, Yuichi Utsumi11University of Hyogo, 2Nagoya University, 3Hyogo Prefectural Institute of Technology, 4University of York
PB12Surface Effect on the Operation of a NEMS SwitchSepeedeh Shahbeigi Roudposhti1, Sertac Guneri Yazgi1, Martin Hofmann2, Mahmut Bicer1, Mohammad Nasr Esfahani3, Ivo W. Rangelow2, B. Erdem Alaca1,4
1Dept. of Mechanical Engineering, Koc University, 2Dept. Micro- and Nanoelectronics Systems, Ilmenau University of Technology, 3WMG, University of Warwick, 4KUYTAM, Surface Science and Technology Center, Koc University
PB16Metallic ink composed of nickel-silver core-shell nanoparticles for preparation of conductive coating Anna Pajor-świerzy1, Robert Socha1, Radosław Pawłowski2, Piotr Warszyński1, Krzysztof Szczepanowicz1
1Jerzy Haber Institute of Catalysis and Surface Chemistry Polish Academy of Sciences, 2Abraxas Jeremiasz Olgierd
PB18Optimal fabrication and characterization of YAG:Ce nanopowders for LED lighting Ya-Wei Lee1, Tien-Li Chang2, Su-Hsen Wu1
1National Defense University, 2National Taiwan Normal University, 3National Defense University
PB20Hybrid Plasmonic Nanostructures via Block Copolymer NanopatterningAndrew Selkirk1, Cian Cummins2, Niall McEvoy1, Mick Morris1, Parvaneh Mokarian-Tabari11Trinity College, The University of Dublin, 2Université de Bordeaux
PB – Nanofabrication
PB01Selective Area Deposition via Polymer Brush FilmsRoss Lundy, Pravind Yadav, Michael A. MorrisSchool of Chemistry, AMBER@CRANN, Trinity College Dublin
PB03Novel Fabrication Method for Diamond-Shaped Silicon Nanowires on (100)-Oriented Single Crystal SiliconYunqian He1,2, Yi Yang1,2, Yuelin Wang1, Tie Li11SIMIT, CAS, 2UCAS
PB05Design and fabrication of hierarchical multi-scale structures on curved surfaceNa Eun Yeo1, Doo-in Kim2, Young-Ho Ha3, Myung Yung Jeong1,4
1Department of Cogno-mechatronics Engineering, Pusan National University, 2Opto-mechatronics Engineering Research Institute, Pusan National University, 3Nano Convergence Center, Gyeongnam Technopark, 4Department of Opto-mechatronics Engineering, Pusan National University
PB07Engineering the Oxide/Metal interface through the insertion of a buffer layer: self-organized formation of CoO nanostructures on Fe(001)Alberto Brambilla, Andrea Picone, Dario Giannotti, Marco Finazzi, Lamberto Duò, Franco CiccacciDipartimento Di Fisica, Politecnico Di Milano
PB09Fabrication of metal-nanopillar nanostructures for plasmomechanical applications Zubair Buch, Pedram Sadeghi, Silvan SchmidTU Wien
PB11Selective electrochemical wet etching for 3D Ni/Cu electrodeSeon-Yong Hwang, Hyeong-Ho Park, Ji Hwang Lee, Chang-Hwan Kim, Keun-Woo Lee, Ho Kwan KangKorea Advanced Nanofab Center
PB13Evaluation of etching characteristics on titanium-assisted chemical vapor etching of silicon dioxideHironobu Nishida, Warisawa Shinichi , Kometani ReoGraduate School Of Frontier Sciences, The University OfTokyo
PB15Emerging ultrafast-laser-assisted and conductive nanofiber fabrication on flexible graphene-based substrate for gas detectionTien-li Chang1, Cheng-Ying Chou1, Ya-Wei Lee2, Jing-Yi Yang1
1Department of Mechatronic Engineering, National Taiwan Normal University, 2Department of Mechanical and Aerospace Engineering, Chung Cheng Institute of Technology, National Defense University
61
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PB22Investigation of morphological and structural properties of hot-wire deposited molybdenum sulphide thin filmsGiorgos Papadimitropoulos, A. Balliou, Dimitris Davazoglou, Dimitris N. KouvatsosNCSR Demokritos
PB24Subtractive Plasma Nano-Assembly: A New Method for Precision Control of Surface NanotopographyAngelos Zeniou1,2, Evangelos Gogolides1, Vassilios Constantoudis1
1INN, NCSR Demokritos, 2Department of Physics, University of Patras
PB26Ion beam implanted Germanium nanowires Ahmad Echresh1,2, Yufang Xie1, Slawomir Prucnal1, Lars Rebohle1, Yordan M. Georgiev1,3
1Institute of Ion Beam Physics and Materials Research, HZDR, 2International Helmholtz Research School for Nanoelectronic Network, HZDR, 3Institute of Electronics at Bulgarian Academy of Sciences
PB28HD-DVD Based Microscale 3D PrinterTien-Jen Chang, Lukas Vaut, Martin Voss, Line Hagner Nielsen, En-Te Hwu, Anja BoisenThe Danish National Research Foundation and Villum Foundation’s Center for Intelligent Drug Delivery and Sensing Using Microcontainers and Nanomechanics (IDUN), Department of Health Technology, Technical University of Denmark
PB30FIB-induced Origami Assembling Diverse 3D Micro/nanostructuresJunjie Li1,2, Changzhi Gu1,2, Ruhao Pan1,2
1Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 2School of Physical Sciences, CAS Key Laboratory of Vacuum Physics, University of Chinese Academy of Sciences
PB323D-microfabrication of pyrolytic carbon electrodes combining additive manufacturing and UV lithographyJesper Yue Pan1, Babak Rezaei1, Thomas Aarøe Anhøj1, Niels Bent Larsen2, Stephan Sylvest Keller1
1Technical University of Denmark, 2DTU Healthtech, Department of Health Technology
PB34The high sensitive sensor of refractive index based on 3D metamaterials fabricated by ion beam irradiationChangzhi Gu, Haifang Yang, Junjie LiChinese Academy of Sciences
PB17Transparent Super Water-Repellent Surface using ZnO NanorodHwa-Min Kim1, Changhyun Lee1, Jiseon Kwon1, Sunyoung Sohn2, Jongjae Kim1, Chanseob Cho3, Bonghwan Kim1
1Daegu Catholic University, 2Pohang University of Science and Technology, 3Kyungpook National University
PB19Effective Growth of Pure Long-straight Boron Nitride Nanowires strain and application as humidity sensorDr Ling Li, Cuicui Zhuang, Xiangqian JiangHarbin Institute of technology
PB21The Path to Molecularly Precise Self-AssemblyMichael Zwolak, Jacob Majikes, James Alexander LiddleNIST
PB23Study of the fabrication of vertical GaN nanowire transistorsGeorge Doundoulakis1,2, Adam Adikimenakis1,2, Antonios Stavrinidis2, Katerina Tsagaraki2, Maria Androulidaki2, Fabrice Iacovella2, George Deligeorgis2, George Konstantinidis2, Alexandros Georgakilas1,2
1Department of Physics, University of Crete, P.O. Box 2208, 70013 Heraklion-Crete, Greece, 2Institute of Electronic Structure and Laser (IESL), Foundation for Research and Technology-Hellas (FORTH), P.O. Box 1385, 71110, Heraklion-Crete, Greece
PB25Substrate effects in selective area growth of GaN nanowires by plasma-assisted molecular beam epitaxyAdam Adikimenakis1,2, George Doundoulakis1,2, Savvas Eftychis1,2, Katerina Tsagaraki1, Maria Androulidaki1, Alexandros Georgakilas1,2
1FORTH, 2University of Crete
PB27Complicated micro-, nanostructure of fine crystalline spots in thin amorphous films formed by e-beam Vladimir KolosovUral Federal University
PB293D greyscale e-beam lithography for the template of a round shape Kinoform lens in X-rayZhiqiang Chen1, Jingyuan Zhu1, Xudi Wang2, Yifang Chen1
1220 Handan Rd., Yangpu District, 2School of Mechanical and Automobile Engineering, Hefei University of Technology
62
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PB38Patterning and Pattern Transfer of Antireflective Nanostructures for Optical Glasses based on self-organized block copolymer masksFlorian Schlachter1, Jens Bolten1, Gaulthier Rydzek2, Parvaneh Mokarian2, Max Christian Lemme1,3
1Amo Gmbh, 2AMBER and School of Chemistry, CRANN Institute, Trinity College Dublin, 3Electronic Devices, RWTH Aachen University
PB40Pedestal-Shaped Microfluidic Nozzles to facilitate Contact Line Pinning during Electrohydrodynamic processing of LiquidsBjorn T.H. Borgelink, Erwin J.W. Berenschot, Cristian S. Deenen, Remco G.P. Sanders, Niels R. Tas, Han J.G.E. GardeniersMesoscale Chemical Systems, University of Twente
PB42High Fidelity and Sustainable Anti-Reflective Moth-eye Nanostructures and Large Area Sub-Wavelength ApplicationsShuhao Si1, Martin Hoffmann2
1Technische Universität Ilmenau, 2Ruhr-Universität Bochum
PB44Silicon surface modification for covalent attachment of molecules via strain-promoted azide-alkyne click chemistry reactionFotini Vrettou1,2, Panagiota Petrou2, Sotirios Kakabakos2, Panagiotis Argitis3, Margarita Chatzichristidi11National And Kapodistrian University Of Athens, 2Immunoassay/Immunosensors Lab, INRΑSTES, NCSR Demokritos, 3Institute of Nanoscience and Nanotechnology, NCSR Demokritos
PB46Surface modification and microfabrication of piezoelectric fluorinated polymers by proton beam writingYoshitaka Nojiri1, Yoshikazu Koike1, Yasuyuki Ishii2, Hiroyuki Nishikawa1
1Shibaura Insititute of Technology, 2Institutes for Quantum and Radiological Science and Technology
PB48Iodine - based etching solution for mercury cadmium telluride materialOlga Markowska1, Jarosław Rutkowski1, Jerzy Ciosek2
1Institute of Applied Physics, Military University of Technology, 2Institute of Optoelectronics, Military University of Technology
PB50Polyelectrolyte multilayer nanosystems for biomedical application Krzysztof Szczepanowicz1, Tomasz Kruk1, Wiktoria Tomal1, Aud Bouzga2, Christian Simon2, Piotr Warszyński11Jerzy Haber Institute Of Catalysis And Surface Chemistry, Polish Academy Of Sciences, 2SINTEF Material and Chemistry
PB31Combination of two-photon 3D printing and inkjet printing for steroid coating drug-eluting implantJongmoon Jang1, Christopher Tse1, Jeong Hun Jang2, Hongsoo Choi3,4, Jürgen Brugger1
1Microsystem Laboratory, École Polytechnique Fédérale De Lausanne (EPFL), 2Dep’t of Otolaryngology, Ajou University School of Medicine, 3Dep’t of Robotics Engineering, Daegu Gyeongbuk Institute of Science and Technology (DGIST), 4DGIST-ETH Microrobot Research Center, DGIST
PB35Large-scale 3D plasmonic sub-10 nm-gap arrays based on stress-induced nanocrack Ruhao Pan1,2, Junjie Li1,2, Changzhi Gu1,2
1Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, 2School of Physical Sciences, CAS Key Laboratory of Vacuum Physics, University of Chinese Academy of Sciences
PB37Growth of porous nanofiber structure via layer-by-layer self-assembly under ionic effects for antireflective and antifogging coatingsKengo Manabe1,2, Seimei Shiratori21Advanced Manufacturing Research Institute, National Institute of Advanced Industrial Science and Technology, 2Center for Material Design Science, School of Integrated Design Engineering, Keio University
PB39Fabrication of robust PDMS microstructure with hydrophobic properties Nithi Atthi, Witsaroot Sripumkhai, Pattaraluck Pattamang, Oraphan Thongsook, Saranya Suntalelat, Jirawat Jantawong, Rattanawan Meananeatra, Jakrapong Supadech, Nipapan Klunngien, Wutthinan JeamsaksiriThai Microelectronics Center (TMEC), National Electronics and Computer Technology Center (NECTEC)
PB41Fabrication of metamaterial structure with morpho butterfly effect using standing wave effectTomoki Nishino1, Hiroshi Tanigawa2, Atsushi Sekiguchi2, Hiroyuki Mayama3
1Ritsumeikan University, 2The Research Organization of Science and Technology, Ritsumeikan University, 3Department of Chemistry, Asahikawa Medical University
PB43Nanoporous Ag Films for Surface-Enhanced Raman Scattering for BiosensorsSungho Yun1,2, HyeongJu Kim1, Dongin Lee3, Bonghwan Kim4, Chanseob Cho1
1Kyungpook National University, 2Kwang-Lim Precision, 3Yeungnam University, 4Daegu Catholic University
PB45Putting Cassie under Pressure Sankara Narayana Moorthi Arunachalam, Ratul Das, Zain Ahmad, Jamilya Nauruzbayeva, Himanshu MishraKing Abdullah University Of Science & Technology
63
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PB52Dew water harvesting from micro-nanotectured surfacesDimitris Nioras, Giannis Thomopoulos, Maria Tzianou, Nikos Vourdas, Kosmas Ellinas, Evangelos GogolidesInstitute of Nanoscience and Nanotechnology, NCSR Demokritos
PB54Ultra-low friction droplet motion on micro-nanostructured superhydrophobic surfacesPanagiotis Sarkiris1, Kosmas Ellinas1, Dimitris Gkiolas2, Dimitrios Mathioulakis2,3, Evangelos Gogolides1
1Institute of Nanoscience and Nanotechnology, NCSR “Demokritos”, 2School of Mechanical Engineering, Section of Fluids, Aerodynamics Laboratory, National Technical University of Athens, 3Institute for Clean and Renewable Energy, Huazhong University of Science and Technology
PB58A novel bio-inspired Triple hierarchical Superhydrophobic Surface (TriSS) Soochan Chung, Cameron Riley, Hayden TaylorUniversity of California, Berkeley
PB60Cyclic deformation behaviour of Ti alloys by using micro-sized specimens Takashi Nagoshi, Hajime Kishimoto, Akihisa HaradaNational Institute of Advanced Industrial Science and Technology
PB62First-principles study on different types of 2D-MoS2-based nanocatalysts for the oxygen reduction reactionJiamu Cao1,2, Junyu Chen1, Jing Zhou1, Shaoxuan Zhong1,2, Luwen Zhang1, Junfeng Liu1
1Harbin Institude of Technology, 2Key Laboratory of Micro-systems and Micro-Structures Manufacturing, Ministry of Education
PB64Dominating role of Rapid Heating rate on the Pt/rGO Nanocatalysts Synthesised by microwave assistant for Highly Enhanced Catalytic PropertiesJing Zhou1, Jiamu Cao1,2, Junyu Chen1, Junfeng Liu1, Yufeng Zhang1,2
1MEMS Center, Harbin Institute of Technology, 2School of electronics and information engineering, Harbin Institute of Technology
PB66Combining bottom-up and top-down approaches with micro X-ray fluorescence spectroscopy for controllable fabrication of periodic ZnO nanostructuresGeorge P. Papageorgiou1,2, Andreas Karydas3, Vassiliki Kantarelou3, George Papageorgiou1, Eleni Makarona1
1Institute Of Nanoscience and Nanotechnology, NCSR Demokritos, 2Physics Department, University of Patras, 3Institute of Nuclear and Particle Physics, NCSR Demokritos
PB47Scalable Perfluorocarbon-free Gas Entrapping Surfaces/Membranes Zain Ahmad, Ratul Das, Sankara Arunachalam, Ulrich Buttner, Himanshu MishraKaust
PB49Nanostructured surfaces for improvement of Laser Transfer processJavier Bravo, Javier ClementeNaitec
PB51Nanoporous activated carbon cloth for H2 adsorption, selective CO2/CH4 separation and supercapacitor energy storageNikolaos Kostoglou1, Christian Koczwara1, Christian Prehal2, Biljana Babic3, Christos Tampaxis4, Georgia Charalambopoulou4, Theodore Steriotis4, Kyriaki Polychronopoulou5, Georgios Constantinides6, Oskar Paris1, Claus Rebholz7, Christian Mitterer1
1Montanuniversität Leoben, 2Graz University of Technology, 3University of Belgrade, 4National Center for Scientific Research Demokritos, 5Khalifa University of Science and Technology, 6Cyprus University of Technology, 7University of Cyprus
PB53Atmospheric plasma etching of nanocomposite materials for fabrication of superhydrophobic, antireflective and antibacterial surfacesPanagiotis Dimitrakellis1, Georgia Kaprou1, Dimitrios Mastellos2, Angeliki Tserepi1, Evangelos Gogolides1
1Institute of Nanoscience And Nanotechnology, NCSR ‘Demokritos’, 2Institute of Nuclear & Radiological Sciences & Technology, Energy & Safety, NCSR ‘Demokritos’
PB55Antifogging and optically switching, micro-nano structured surfacesMaria-Martha Tzianou, Giannis Thomopoulos, Dimitris Nioras, Kosmas Ellinas, Nikos Vourdas, Evaggelos GogolidesInstitute of Nanoscience and Nanotechnology NCSR Demokritos
PB57Fabrication of functional separator via graphene oxide induced surface modification for lithium ion batteryJu Young Kim, Dong Ok Shin, Kwang Man Kim, Jimin Oh, Jumi Kim, Seok Hun Kang, Myeong Ju Lee, Young-Gi LeeElectronics and Telecommunications Research Institute
PB59Impedance spectroscopy of diamond based nanomaterials and nanostructuresRuoying Zhang1, Aude Cumont1, Dongyu Li1, Nikolaos Kehagias2, Haitao Ye1
1University of Leicester, 2Catalan Institute of Nanoscience and Nanotechnology
64
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PB68Engineered bottom-up fabrication of Tin Selenide Nanostructures: ranging from 2D to 1DSubhajit Biswas1,2, Fionan Davitt1,2, Gillian Reid3, Justin D. Holmes1,2
1School of Chemistry, University College Cork (ucc), 2AMBER@CRANN, Trinity College Dublin, 3School of Chemistry, University of Southampton
PB70Single layer reduced graphene oxide transferred by Langmuir–Blodgett and patterned by Electron Beam Lithography at wafer scale Adrian Martinez-Rivas1, Aldo Armando Rosales Hernández2, Narcizo Muñoz-Aguirre3, Donato Valdez-Pérez2
1CIC-Instituto Politécnico Nacional, 2ESIME-Zacatenco, Instituto Politécnico Nacional, 3ESIME-Azcapotzalco, Instituto Politécnico Nacional
PB76Study of laparoscopic scope camera lens with antifouling function using metamaterial structureTomoki Nishino1, Hiroshi Tanigawa2, Atsushi Sekiguchi2, Hiroyuki Mayama3, Akinari Hinoki4, Hiroo Uchida4
1Ritsumeikan University, 2The Research Organization of Science and Technology, Ritsumeikan University, 3Department of Chemistry, Asahikawa Medical University, 4 Department of Pediatric Surgery, Nagoya University Graduate School of Medicine
PB78Optical properties of aluminum nanosquare structuresGrigoris Zisis1,2, Evangelos Almpanis1, Emmanouil Panagiotidis1, Ioannis Raptis1,2, Nikos Papanikolaou1
1Institute of Nanoscience and Nanotechnology, NCSR ‘Demokritos’, 2ThetaMetrisis SA
PB80Optical characterization of printed silver nanocluster wiresRyohei Hokari, Kazuma Kurihara, Eiji HigurashiNational Institute of Advanced Industrial Science And Technology (AIST)
PB82Measuring the complexity of nanostructured surfacesAthanasios Arapis1,3, Vassilios Constantoudis1,2
1Institute of Nanoscience and Nanotechnology, NCSR Demokritos, 2Nanometrisis, 3School of Applied Mathematical and Physical Sciences, NTUA
PB84The Structure and Micro-mechanical Properties of Cobalt Electrodeposited by Micro-compression TestXun Luo1,2, Tso-Fu Mark Chang3, Masato Sone3
1College of Materials and Metallurgy, Guizhou University, 2Key Laboratory of Light Metal Materials Processing Technology of Guizhou Province, Guizhou Institute of Technology, 3Institute of Innovative Research, Tokyo Institute of Technology
PB63Sensing of Hydrogen by two-dimensional doped-2H MoS2 and pristine-1T MoS2: A first-principles studyJunyu Chen1, Jing Zhou1, Jiamu Cao1,2, Shaoxuan Zhong1,2, Luwen Zhang1, Junfeng Liu1, Yufeng Zhang1,2
1MEMS Center, Harbin Institute of Technology, 2Key Laboratory of Micro-systems and Micro-Structures Manufacturing, Ministry of Education
PB65Formation of MoS2 nanostructure arrays using anodic aluminium oxide template Takaki Okamoto1, Tomohiro Shimizu1, Takeshi Ito1, Koichi Takase2, Shoso Shingubara1
1Kansai University, 2Nihon University
PB67Temperature Dependent (83-533 K) Raman Spectroscopy Analysis of MoS2 Monolayers on Si/SiO2 and Glass SubstratesMerve Öper, Hüseyin Şar, Feridun Ay, Nihan Kosku PerkgozEskisehir Technical University
PB69Mapping of charge distribution by Kelvin probe force microscopy on graphene field effect transistor at controlled relative humidityVojtěch Švarc1,2, Miroslav Bartošík1,2, Martin Konečný1,2, Jakub Sadílek1, Tomáš Šikola1,2
1Central European Institute of Technology – Brno University of Technology (CEITEC BUT), 2Institute of Physical Engineering, Brno University of Technology
PB71Stacked electrophoretic deposited graphene supercapacitorsLaigui Hu, Muhammad Zaheer, Ran LiuFudan University
PB73Growth and characterization of nanocrystalline and nanoparticle Hafnium filmsMenelaos Tsigkourakos1, Athanasios Housiadas1, Thomas Kehagias2, Philomela Komninou2, Dimitris Tsoukalas1
1National Technical University of Athens, 2Aristotle University of Thessaloniki
PB77Study on nanoimprint technology of plant structure with super water repellent structureAtsushi Sekiguchi1,2, Tomoki Nishino1, Hitoshi Tanigawa1, Hiroko Minami2, Japan Yoko Matsumoto2
1Ritsumeikan University, 2Litho Tech Japan Corporation
PB79Subwavelength transmissive surface-relief gratings for spiral phase-contrast microscopyEinstom Engay1, Larissa Vertchenko1, Dewang Huo1,2, Alexandre Wetzel3, Ada-Ioana Bunea3, Andrei Lavrinenko1
1Technical University of Denmark, DTU Fotonik, 2Harbin Institute of Technology, Department of Physics, Institute of Modern Optics, 3Technical University of Denmark, DTU Nanolab
65
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PB86When should we consider the effect of tip size and shape in AFM measurements?Andrew A. Vekinis, Vassilis ConstantoudisInstitute of Nanoscience and Nanotechnology, NCSR Demokritos
PB88Nanometrological Characterization of CuO and NiO Nanostructures of Non-conventional Morphologies: A symmetry-based approachVassilios Constantoudis1,4, Ioannis Ioannou-Sougleridis1,3, A. Dimou1,2, A Ninou1,2, M. Chatzichristidi2, E. Makarona1
1Institute of Nanoscience and Nanotechnology, NCSR Demokritos, 2Department of Chemistry, University of Athens, 3Department of Physics, University of Athens, 4Nanometrisis p.c.
PB81Controlling light wavefronts with dielectric meta-surfacesEmmanouil Panagiotidis1,2, Evangelos Almpanis1,2, Nikolaos Papanikolaou1, Grigorios Konstantinos Zisis1
1Institute of Nanoscience and Nanotechnology, NCSR ‘Demokritos’, 2Department of Solid State Physics, National and Kapodistrian University of Athens
PB83Dip-in and measure: An alternative approach for the fabrication of metal-coated AFM probes for Tip-Enhanced Raman SpectroscopyDiana DavilaIBM Research - Zurich Lab
PB85Ultra-sensitive interferometric microscope for material analysis and defect detectionLuc Dümpelmann, Roland A. Terborg, Josselin Pello, Illaria Mannelli, Valerio PruneriIcfo
PB87Investigation of Pt/C freestanding nanowires fabricated in focused electron beam deposition technologyPiotr Kunicki1, Krzysztof Kwoka1, Tomasz Piasecki1, Sebastian Eberle2, Cosmin Roman2, Christofer Hierold2, Teodor Gotszalk1
1Wroclaw University of Technology, 2Swiss Federal Institute of Technology in Zurich
PB89Three-dimensional silicon nanostructures for photonic applications Bingdong Chang, Flemming Jensen, Jörg Hübner, Henri JansenTechnical University of Denmark, Kongens Lyngby, Denmark
66
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PC – Devices & MEMS
PC02Impact of Roughness of TiN bottom electrode on the forming voltage of HfO2 based Resistive MemoriesChristelle Charpin-Nicolle1, Marceline Bonvalot2, Romain Sommer1, Alain Persico1, Maryline Cordeau1, Samia Belahcen2, Brice Eychenne2, Philippe Blaise1, Sébastien Martinie1, Sophie Bernasconi1, Eric Jalaguier1, Etienne Nowak1
1CEA-LETI, 2CNRS-LTMI
PC04Effects of Negative Bias Stress on Electrical Characteristics of 4H-SiC MOSFETsHojun Lee1, Taeeun Kim1, Ogyun Seok2, Jeong Hyun Moon2, Wook Bahng2, Dong-Won Kang3, Yong-Sang Kim4, Min-Woo Ha1
1Department of Electrical Engineering, Myongji University, 2Korea Electrotechnology Research Institute, 3Department of Energy Systems Engineering Chungang University, 4Department of Electrical and Computer Engineering, Sungkyunkwan University
PC08Wet Etching of ZnO thin films for Transparent Electronic NetworkBen Daniel Rowlinson1, Nor Azlin Ghazali2, Joshua Akrofi1, Wira Sinuraya1, Martin Ebert1, Jamie Dean Reynolds1, Harold M H Chong1
1University of Southampton, 2Universiti Sains Malaysia
PC10Metallization technique for drain/ source electrodes for complementary organic and ZnO nanoparticle inverter circuitsJulia Reker1, Thorsten Meyers1, Fábio F. Vidor2, Ulrich Hilleringmann1
1Paderborn University, 2Universidade Federal do Rio Grande do Sul
PC12Improved NBIS of IGZO TFTs by Novel Fluorine Doping TechniqueKyung-Mo Jung, Jongsu Oh, Kyung Rae Kim, Yong-Sang KimDepartment Of Electrical And Computer Engineering, Sungkyunkwan University
PC14Synaptic properties of HfOx and TaOy-based resistive switching multilayer devicesDionisis Sakellaropoulos, Panagiotis Bousoulas, Georgios Nikas, Dimitris TsoukalasDepartment of Applied Physics, National Technical University of Athens
PC16Defect incorporation techniques for oxide-based memristive devicesAlexander Rogozhin1, Andrey Miakonkikh1, Olga Permyakova1,2, Konstantin Rudenko1
1Valiev Institute of Physics and Technology of Russian Academy of Sciences, 2Moscow Institute of Physics and Technology
PC – Devices & MEMS
PC01Analysis on the Effect of Flake thickness on Photocurrent Efficiency and Photoresponsivity of ReS2 Field-effect TransistorsJi-Hoon Shon, Young-Sun Moon, Soo-Jin Kim, Gyu-Tae KimKorea University
PC03Design of multi-layer single-electron circuit mimicking behavior of bubble film for solving Steiner tree problem Nobuhiko Kurata, Takahide OyaGraduate School Of Engineering Science, Yokohama National University
PC05Suppression of E-field crowding in gate oxide of 1.2 kV SiC trench MOSFETs using double p-base structureOgyun Seok1, Min-Woo Ha2, In Ho Kang1, Hyoung Woo Kim1, Wook Bahng1
1Korea Electrotechnology Research Institute, 2Department of Electrical Engineering, Myongji University
PC07Performance Improvement of Ge pFinFETs by Post-Fin-Fabrication AnnealingWataru Mizubayashi1, Hiroshi Oka1, Takahiro Mori1, Yuki Ishikawa1, Seiiji Samukawa2, Kazuhiko Endo1
1AIST, 2Tohoku Univ.
PC09Electrical characterisation of Sm-, Nb-co-doped TiO2 thin films Mariko Murayama1,2, Iain Crowe2, Simon Hammersley2, Vladimir Markevich2, Matthew Halsall2, Anthony Peaker2, Kenji Sato1, Shogo Iwana1, Keita Shiraishi1, Shuji Komuro3, Masashi Ishii4, Xinwei Zhao1
1Tokyo University Of Science, 2Photon Science Institute and School of Electrical and Electronic Engineering, University of Manchester, 3Faculty of Science and Engineering, Toyo University, Saitama, 4National Institute for Materials Science (NIMS)
PC13Ferromagnetic/Ferroelectric heterojunction-induced modulation of magnetic properties of artificial magnetsAkinobu Yamaguchi1, Ryo Nakamura1, Shunya Saegusa1, Keisuke Yamada2, Tsunemasa Saiki3, Aiko Nakao1, Yuichi Utsumi1, Takeshi Ogasawara4, Masaki Oura5, Takuo Ohkouchi61University Of Hyogo, 2Gifu University, 3Hyogo Prefectural Institute of Technology, 4 National Institute of Advanced Industrial Science and Technology, 5RIKEN, 6Japan Synchrotron Radiation Research Institute
PC15Fabrication and Characterization of Reconfigurable Field Effect TransistorsBilal Khan1,2, Slawomir Prucnal1, René Hübner1, Artur Erbe1, Yordan M. Georgiev1,3
1Institute Of Ion Beam Physics And Materials Research, HZDR, 2International Helmholtz Research School for Nanoelectronic Network, HZDR, 3Institute of Electronics at Bulgarian Academy of Sciences, 72, Tsarigradsko chausse blvd.
67
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PC18Experimental and modeling study of FinHEMT transistors based on AlN/GaN/AlN heterostructureGeorge Doundoulakis1,2, Adam Adikimenakis1,2, Antonios Stavrinidis2, Katerina Tsagaraki2, Maria Androulidaki2, George Deligeorgis2, George Konstantinidis2, Alexandros Georgakilas1,2
1Department of Physics, University of Crete, 2Institute of Electronic Structure and Laser (IESL), Foundation for Research and Technology-Hellas (FORTH)
PC20Improving Stability of Zinc Oxide Nanowire Field Effect Transistors Operating in High Ionic Phosphate Buffered SolutionJoshua Akrofi, Martin Ebert, Jamie Reynolds, Kai Sun, Ruoyo Hu, Maurits de Planque, Harold Chong1University of Southampton
PC24Electromigration for memristive devicesJoseph Lombardo1, Simon Collienne1, Adrien Petrillo1, Emile Fourneau2, Ngoc Duy Nguyen2, Alejandro V. Silhanek1
1Experimental Physics of Nanostructured Materials, Q-MAT, CESAM, University de Liège, 2Solid State Physics - Interfaces and Nanostructures, Q-MAT, CESAM, Université de Liège
PC26Hot Electron Nanoscopy and spectroscopy (HENs): from probe design to real applications Bruno Torre, Andrea Giugni, Marco Allione, Xinyu Zhang, Enzo Di FabrizioKing Abdullah University Of Science and Technology
PC28Grating integration technologies for edge emitting AlGaAs diode lasersOlaf Brox, Jörg Fricke, Hans Wenzel, Pietro Della Casa, Andre Maaßdorf, Markus Weyers, Mathias Matalla, Andrea KniggeFerdinand-Braun-Institut, Leibniz-institut für Höchstfrequenztechnik
PC30Experimental and numerical investigation of biosensors plasmonic substrates induced by different fabrication techniques, e-beam, soft and hard UV-NILJean-Francois Bryche1,2,3, Frédéric Hamouda2, Mondher Besbes3, Philippe Gogol2, Julien Moreau3, Marc Lamy de la Chapelle4, Michael Canva1, Bernard Bartenlian2
1Laboratoire Nanotechnologies Nanosystèmes; CNRS, Université de Sherbrooke, 2Centre de Nanosciences et de Nanotechnologies; CNRS, Université Paris-Sud, Université Paris-Saclay, 3Laboratoire Charles Fabry, IOGS, CNRS, Université Paris-Sud, Université Paris-Saclay, 4Institut des Molécules et Matériaux du Mans; CNRS, Université Le Mans
PC17Hydrothermally-developed ZnO pn-homojunctions on Si for optoelectronic applicationsEleni Makarona, George PapageorgiouInstitute Of Nanoscience And Nanotechnology, Ncsr “demokritos”
PC19Photogating-based position-sensitive detectors with organic semiconductors Wei Jin, Zhi-Jun Qiu, Chunxiao Cong, Ran Liu, Laigui HuFudan University
PC21Red colored electrochromic device Juhee Song, Hojun Ryu, JiSu Han, Tae-Youb Kim, Chihun Sung, Seong M. Cho, Sujung Kim, Chi-Sun Hwang, Chil Seong AhElectronics and Telecommunications Research Institute
PC23Electrochemical Deposition as a Tool for Fabrication of Organic and Hybrid PhotovoltaicsOuacef Charfi1,3, Markus Frericks2,4, Marko Cehovski1,3, Hans-Hermann Johannes1,3, Wolfgang Kowalsky1,3,4
1Technische Universität Braunschweig, Institut für Hochfrequenztechnik, 2Technische Universität Darmstadt, Materials Science Department, Surface Science Division, 3Cluster of Excellence PhoenixD (Photonics, Optics, and Engineering – Innovation Across Disciplines), 4InnovationLab GmbH
PC25Fabrication and evaluation of synaptic device with 2-D array of MnO2 nanoparticlesTakahiko Ban1, Yukiharu Uraoka2, Shin-ichi Yamamoto1
1Ryukokou University, 2Nara Institute of Science and Technology
PC27Fabrication of plasmonic circuits comprising waveguides, multiplexer, demultiplexer, and detector-integrated MOSFETsMitsuo Fukuda, Yuta Tonooka, Tomohiro Hirano, Masashi Ota, Yasuhiko IshikawaToyohashi University Of Technology
PC29A long-range plasmonic waveguide with integrated reflector for perpendicular optical interconnect applicationsLaurent Markey, Christian Vernoux, Jean-Claude Weeber, Kamal Hammani, Juan Arocas, Alain DereuxLaboratoire Interdisciplinaire Carnot de Bourgogne
PC31Fabrication of Void-free Ternary Chalcogenide Microlens Arrays Using PDMS StampsHoa Xiong, Yunfan Shi, Qian Wang, Dong Wu, Liyang Pan, Zheyao WangTsinghua University/institute Of Microelectronics
68
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PC32Generalized Two-Temperature Fitting Algorithm for Ultrashort Laser Heating of Metal Film and Nanoparticles to spatially and temporally study heat propagationPaul Bresson1,2,3, Jean-françois Bryche1,2, Julien Moreau3, Mondher Besbes3, Paul-Ludovic Karsenti1,2, Denis Morris1,2, Paul Charette1,2, Michael Canva1,2
1Laboratoire Nanotechnologies Nanosystèmes; CNRS, Université de Sherbrooke, 2Institut Interdisciplinaire d’Innovations Technologiques 3IT, 3Laboratoire Charles Fabry, IOGS, CNRS, Université Paris-Sud, Université Paris-Saclay
PC34Refractometric Sensing Using Gradient Plasmonic Nanostructures: Mapping Spectral Information to Spatial PatternsSiyi Min1,2, Shijie Li1, Zhouyang Zhu1, Chuwei Liang1, Jingxuan Cai1, Xing Cheng2, Wen-Di Li11Department of Mechanical Engineering, The University of Hong Kong, 2Department of Materials Science and Engineering, Southern University of Science and Technology
PC36Filament-doped thin film electrodes for high-efficiency light-emitting and detecting devices Tae Geun Kim, Tae Ho Lee, Yong Woon KimKorea University
PC38Silicon nitride nanoantennas for wireless on-chip optical networksTiziana Stomeo1, Andrea Toma2, Antonio Qualtieri1, Giovanna Calò3, Badrul Alam3, Vincenzo Petruzzelli3, Gaetano Bellanca4, Ali Emre Kaplan4, Massimo De Vittorio1,5
1CBN - Istituto Italiano Di Tecnologia, 2Istituto Italiano di Tecnologia, 3Politecnico di Bari, 4Dipartimento di Ingegneria, Università di Ferrara, 5Dipartimento Ingegneria dell’Innovazione, Università del Salento
PC42Reversed electrochromic device with blue color in neutral states Chil Seong Ah, Juhee Song, Hojun Ryu, Tae-Youb Kim, Seong M. Cho, Sujung Kim, Sanghoon Cheon, Joo Yeon Kim, Chi-Sun Hwang1Electronics And Telecommunications Research Institute (ETRI)
PC44Structured light with angular momentum for excitation of near field hot spotsMarco Allione, Andrea Giugni, Bruno Torre, Enzo Di FabrizioPhysical Science and Engineering Division, KAUST
PC46Principles for selecting quantum dots with high intrinsic quantum efficiencySøren StobbeDepartment Of Photonics Engineering, Technical University Of Denmark
PC33Nanofabrication of fan-shaped photonic crystal spectrometers with ultrahigh resolution in SOI for the infrared rangeBo Feng, Xunya Jiang, Yifang ChenFudan University
PC37Fabrication of metallo-dielectric metamaterials integrating nano-imprinted PMMA pillarsTiziana Stomeo1, Armando Casolino2, Francesco Guido1, Antonio Qualtieri1, Michael Scalora3, Antonella D’Orazio2, Marco Grande2, Massimo De Vittorio1,4
1CBN - Istituto Italiano Di Tecnologia, 2Politecnico Di Bari, 3Charles M. Bowden Research Center, REDCOM, 4Università del Salento
PC39An 1800 nm microcavity semiconductor laserFangyuan Meng1,2,3, Hongyan Yu1,2,3, Xuliang Zhou1,2,3, Yajie Li1,2,3, Pengfei Wang1,2,3, Wenyu Yang1,2,3, Guangzhen Luo1,2,3, Weixi Chen1, Jiaoqing Pan1,2,3
1Key Laboratory of Semiconductor Materials Science, Institute of Semiconductors, Chinese Academy of Science, 2Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, 3Beijing Key Laboratory of Low Dimensional Semiconductor Materials and Devices
PC41Fabrication of SU-8 thick microstructures and integrated optics fabrication by e-beam lithographyLuca Businaro, Francesca Romana Bertani, Adele De Ninno, Giorgio Pettinari, Francesco Martini, Annamaria GerardinoCNR-IFN, Institute for Photonics and Nanotechnologies
PC43Electrochromic window based on the polymer substrate with long term stability for smart auto-vehicle applicationHojun Ryu, Chi-Seong Ah, Ju-Hee Song, Sang-Hoon CheonETRI
PC45Highly efficient surface micromachined infrared absorber with dual-band characteristic for thermoelectric radiation sensors A. Ihring, G. Zieger, P. Lorenz, S. Stanca, F. Haenschke, D. Blaschke, H. SchmidtLeibniz Institute of Photonic Technology
PC47Photothermal analysis of direct-write gold nanostructures – How purity and conductivity affect the plasmonic properties?Mostafa Moonir Shawrav1, Miao-Hsuan Chien1, Zubair Buch1, Philipp Taus, Heinz D. Wanzenboeck2, Silvan Schmid1
1Micro and Nanostensors, Institute of Sensors & Actuatr Systems, TU Wien, 2Institute of Solid State Electronics, TU Wien
69
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PC48Ultrashort-pulse laser dicing of Glass-Phosphor-glass sheetsSandra Stroj1, Giovanni Piredda1, Wolfgang Plank2, Martin Muendlein2, Matthias Domke1
1Vorarlberg University of Applied Sciences / Research Center for Microtechnology, 2ESCATEC Switzerland AG
PC50Ce:Y3Al5O12 phosphor / polymer nanocomposite coatings on microstructures and micromachines by pulsed laser depositionE. Bagiokis1, K. Papachristopoulou1, V. Karoutsos1, A. Lorusso2, Yongzheng Fang3, Jingshan Hou3, Yufeng Liu3, A. Perrone2, Nikolaos Vainos1
1University Of Patras, Dept Materials Science, 2Dipartimento di Matematica e Fisica “E. De Giorgi”, Università del Salento & Istituto Nazionale di Fisica Nucleare, 3School of Materials Science and Engineering, Shanghai Institute of Technology
PC52Computer generated plasmonic holographic structures for toxin sensingNikolaos Vainos1, E. Bagiokis1, K. Papachristopoulou1, J. Jermann1, E. Smyrnioti1, C. Stathopoulos2, D. Papaioannou3
1University Of Patras, Dept Materials Science, 2University of Patras, Department of Medicine, 3University of Patras, Department of Chemistry
PC54Nano-photonic structures for a silicon optical phased array capable of wide-angle and highly-efficient beam-forming operationJong-Bum You1, Dong-Eun Yoo1, Dong-Wook Lee1, Young Su Kim1, Kyoungsik Yu2, Hyo-Hoon Park2
1National NanoFab Center, 2KAIST
PC56Ultra miniaturized InterDigitated Electrodes as a platform for sensing applicationsZ. Wang1, A. Syed1, S. Bhattacharya1, X. Chen1, U. Buttner1, G. Ioardache1, K. Salama2, E. Valamontes2, P. Oikonomou3, I. Raptis1,3, A. Botsialas3, M. Sanopoulou3
1Nanofabrication Core Labs, KAUST, 2Dept. Electrical & Electronics Eng., University of West Attica, 3Institute of Nanoscience & Nanotechnology, NCSR ‘Demokritos’
PC58Flow sensor and stent integration for evaluating in-situ breathing property at airway in experimental animalHayato Noma1, Yoshihiro Hasegawa1, Kazuhiro Taniguchi1, Miyoko Matsushima2, Tsutomu Kawabe2, Mitsuhiro Shikida1
1Hiroshima City University, 2Nagoya University
PC60Integration of carbon nanotube-based sensors to a flip-chip package for micro-strain detection in microelectronic packageJulien Pezard1, Yosri Ayadi1, Adham Elshaer1, Eric Duchesne2, Hélène Frémont3, Dominique Drouin1
13IT, Universite de Sherbrooke, Sherbrooke, J1K 2R1, QC, Canada, 2IBM Canada Ltd, 23 boul. de l’Aéroport, Bromont, J2L 1A3, QC, Canada, 3IMS, Université Bordeaux, 351, cours de La Libération 33405 Talence cedex, FRANCE
PC49Rapid and precise wavelength determination approach based on visually patterned integrated narrow bandpass filtersZhiyi Xuan1,2,3, Ying Zhi1,3, Yi Zhang1,3, Shaowei Wang1, Wei Lu1,2,3
1State Key Laboratory Of Infrared Physics, Shanghai Institute Of Technical Physics, Chinese Academy Of Sciences, 2School of Physical Science and Technology, ShanghaiTech University, 3Shanghai Engineering Research Center of Energy-Saving Coatings
PC51Performance of white-light Ce:Y3Al5O12 composite emitters for visible light optical communicationsTh. Kamalakis1, D. Alexandropoulos2, Yongzheng Fang3, Yufeng Liu3, S. Couris4, Jingshan Hou3, Nikolaos Vainos1
1Harokopio University of Athens,Department of Informatics and Telematics, 2University Of Patras, Dept Materials Science, 3School of Materials Science and Engineering, Shanghai Institute of Technology, 4University of Patras, Department of Physics
PC53Transferrable dielectric DBR membranes for versatile GaN-based polariton and VCSEL technologyEmmanouil Amargianitakis1,2, Stelios Kazazis2,3, George Doundoulakis2,3, George Stavrinidis2, George Konstantinidis2, Eric Delamadeleine4, Eva Monroy4, Nikolaos Pelekanos1,2
1Department of Materials Science and Technology, University Of Crete, 2Microelectronics Research Group, IESL-FORTH, 3Department of Physics, University of Crete, 4Université Grenoble-Alpes, CEA, INAC-PHELIQS
PC55Transition metal-based 2D MXenes thin films for plasmonic photodetectionDhinesh Babu Velusamy, Jehad K. El-Demellawi, Ahmed M. El-Zohry, Andrea Giugni, Sergei Lopatin, Mohamed N. Hedhili, Ahmed E. Mansour, Enzo Di Fabrizio, Omar F. Mohammed, Husam N. AlshareefKaust - King Abdullah University Of Science and Technology
PC57A novel contact-enhanced low-g inertial switch with low-stiffness fixed electrodeKe Zhai, Liqun Du, Min Han, Weitai Wang, Ming ZhaoDalian University of Technology
PC59Processing of piezotronic microstrain sensors on flexible substratesStéphanie Girod1, Raoul Joly1,2, Noureddine Adjeroud1, Kevin Menguelti1, Mohamed El Hachemi1, Patrick Grysan1, Sébastien Klein1, Jérôme Polesel11Luxembourg Institute of Science and Technology, 2University of Luxembourg
PC61Ultra-high sensitive humidity detection using surface enhanced microcantileversS. Balasubramanian, K. Prabakar, S. R. PolakiIndira Gandhi Centre for Atomic Research
70
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PC62A thermal flow sensor and its signal processing circuit integration onto flexible copper on polyimide substrateAyami Kato1, Iori Fujitsuna1, Yoshihiro Hasegawa1, Kazuhiro Taniguchi1, Miyoko Matsushima2, Tsutomu Kawabe2, Mitsuhiro Shikida1
1Hiroshima City University, 2Nagoya University
PC64Design and performance analysis of a microgravity accelerometer with quasi-zero stiffness characteristic Yuxing Duan1, Xueyong Wei1,2, Minghui Zhao1, Ziming Ren1, Huiying Zhao1, Juan Ren2
1Xi’an Jiaotong University, 2Chang’an University
PC66Piezoresistive strain sensors based on aqueous dispersion of graphene nanoplatelets Vasiliki Tsouti, Vasiliki Kekou, Meropi Sanopoulou, Stavros ChatzandroulisNCSR Demokritos
PC68Ceramic membrane based integrated systems for power generation and sensingNerea Alayo1, Marco Bianchini1, Francesco Chiabrera1, Iñigo Garbayo1, Marc Salleras3, Luis Fonseca3, Albert Tarancón1,2
1Irec, 2ICREA, 3IMB-CNM (CSIC)
PC70A 3D Printed Thermal Flow Sensor for Spirometry ApplicationsTzoulian Koutsis, Alexios Psyrris, Grigoris KaltsasmicroSENSES Laboratory, Department of Electrical and Electronic Engineering, University of West Attica
PC72Transparent Piezoelectric Ultrasonic Transducer for Optical Registered Photoacoustic ImagingYa-Han Liu, Fu-Sung Lin, Li-Xiang Chen, Yeong-Her Wang, Chih-Hsien HuangNational Cheng Kung University
PC74An approach for nanostructuring of piezoelectric materials by template-assisted growth in porous aluminium oxideTsvetozar Tsanev1, Maryia Aleksandrova1, Boriana Tzaneva2, Valentin Videkov1
1Technical University of Sofia, Department Of Microelectronics, 2Technical University of Sofia, Department of Chemistry
PC76Ionic insulating polymer embedded light harvester for morphological control of perovskite solar cellsSeojun Lee1, Saemon Yoon1, Jun Ryu1, Min-Woo Ha2, Yong-Sang Kim3, Dong-Won Kang1
1Chung-Ang University, 2Myongji University, 3Sungkyunkwan University
PC63Nanomaterial based Flow-sensor for easy microfluidic chip integration Evangelos Skotadis1, Lampros Patsiouras1, Emmanouil Kousoulas Artouro Vargkas1, Evangelos Aslanidis1, Georgia Kaprou2, Angeliki Tserepi2, Dimitris Tsoukalas1
1National Technical University of Athens, 2Institute of Nanoscience and Nanotechnology, NCSR Demokritos
PC65Thermal flow sensor operated under 40 degrees Celsius for controlling small dosing rate in drip infusion systemChihiro Shimohira1, Yoshihiro Hasegawa1, Kazuhiro Taniguchi1, Miyoko Matsushima2, Tsutomu Kawabe2, Mitsuhiro Shikida1
1Hiroshima City University, 2Nagoya University
PC67Mode-Matched Single-Crystal Lithium Niobate Disk Resonator for High-Performance GyroscopeKazutaka Obitani1, Toshiyuki Tsuchiya1, Kazutaka Araya2, Masanori Yachi31Department of Microengineering, Kyoto University, 2TAMAGAWA SEIKI Co., Ltd., 3TAMAGAWA MOBILE EQUIPMENT Co., Ltd.
PC69A Highly Sensitive Pressure Sensor Based on Carbon Nanotubes and Polymer CompositeTallis H. da Costa, Jin-Woo ChoiLouisiana State University
PC71Electrochemical hydrogen sensors with wide detection ranges for hydrogen fuel cell vehicle applicationSoon-Won Jung, Yoon-Ee Jo, Ms Hye Ryun Yoon, Seung-Yun LeeHanbat National University
PC73Polymeric seed layer as a simple approach for nanostructuring of Ga-doped ZnO films for flexible piezoelectric energy harvesting Mariya AleksandrovaTechnical University Of Sofia, Department Of Microelectronics
PC75A novel polymer super capacitor with high aspect ratio 3D printed sub-millimetres structuresGiorgio Scordo1, Valentina Bertana1, Pietro Zaccagnini1,2, Alberto Scalia1, Andrea Lamberti1,2, Stefano Romano1, Luigi Simone Marasso1,3, Carmelo Nicosia1, Luciano Scaltrito1, Sergio Ferrero1, Fabrizio Pirri1,2, Matteo Cocuzza1,3
1Politecnico di Torino, 2Istituto Italiano di Tecnologia, 3CNR-IMEM
PC77Fabrication of CIGS micro-concentrator solar cell devices Pedro Anacleto1, D. Siopa2, P.J. Dale2, S. Sadewasser1
1INL - Iberian International Nanotecnology Laboratory, 2 Physics and Materials Science Research Unit, Belvaux
71
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PC78Nanoimprint Lithography for the Creation of Solar Thermal AbsorbersTina Mitteramskogler1, Michael J Haslinger1, Ambiörn Wennberg2, Iván F Martínez2, Michael Muehlberger1, Matthias Krause3, Elena Guillén1
1Profactor GmbH, 2Nano4Energy SL, 3Helmholtz-Zentrum Dresden-Rossendorf e.V.
PC80Nanostructured passivation layers for improved efficiency of CIGS solar cellsPedro Anacleto1, E. Edoff2, W-C. Chen2, P.J. Bolt3, W. van Boekel3, J. van Deelen3, Y. Zhou4, S. Sadewasser1
1INL - Iberian International Nanotecnology Laboratory, 2Uppsala University, dep. Engineering Sciences, Uppsala , 3TNO, 4 Obducat AB
PC82Demonstration of high temperature solid oxide fuel cell element based on nanohomogeneous yttria-stabilized zirconia microtubesAndreas Nõlvak1, Alexander Vanetsev1,2, Gunnar Nurk3, Aile Tamm1, Glen Kelp1, Tanel Tätte1
1Institute of Physics, University οf Tartu, 2Prokhorov General Physics Institute, 3Institute of Chemistry, University of Tartu
PC84Surface modification of triboelectric nanogenerators with ZnO nanoparticlesVasiliki Prifti, Achilleas Bardakas, Ariadni P. Kerasidou, Christos TsamisInst. of Nanoscience and Nanotechnology, NCSR “Demokritos”
PC86A micro-structured micro capacitor on Si substrate having large capacitance for an integrated energy harvesterHiroki Kuwano, V. Krikscikas, A. Sekiguchi, H. OguchiTohoku University
PC88Tuning of imprinting stamps for the fabrication of nano-electrodes for electrochemical CO2 reductionMatthias Golibrzuch, Thomas Maier, Katharina Krischer, Markus BechererTechnical University of Munich
PC90One-Day Fast-Prototyping Process for Functionalized Membrane Array on Flexible SubstrateThibault P. Delhaye1, Chang Ge2, Laurent A. Francis1, Edmond Cretu2, Denis Flandre1
1ICTEAM, 2ECE
PC92Beat-to-beat pulse wave velocity estimation by soft and flexible Aluminium Nitride based piezoelectric sensorLara Natta1, Vincenzo Mariano Mastronardi1, Prospero Lombardi3, Francesco Guido1,4, Luciana Algieri1,4, Francesco Ciccirillo5, Giuseppe Colonna5, Marco Di Rienzo3, Antonio Qualtieri1, Massimo De Vittorio1,2
1Istituto Italiano Di Tecnologia, 2Università del Salento, 3IRCCS Fondazione Don Carlo Gnocchi, 4Piezoskin S.r.l., 5Department of interventional cardiology and hemodynamics, “V. Fazzi” hospital
PC81Development of a novel low frequency magnetic eccentric pendulum vibration energy harvester for wireless oceanic nodesShaoxuan Zhong1,2, Jiamu Cao1,2, Junyu Chen1, Jing Zhou1, Luwen Zhang1, Junfeng Liu1
1MEMS Center, Harbin Institute of Technology, Harbin 150001, China, 2Key Laboratory of Micro-systems and Micro-Structures Manufacturing, Ministry of Education, Harbin 150001, China
PC83Influence of bi-functional catalyst nanostructures morphology on performances for rechargeable zinc-air batteryChun-I Lee1, Wen Sheng Chang2
1National Kaohsiung University of Science And Technology, 2Industrial Technology Research Institute
PC87Analysis on vertically aligned ZnO Nanorods as composite mechanical springs for an elastic and steady piezoelectric behavior at multiple frequenciesOscar Gilberto Súchil Pérez, Selene Capula Colindres, Adrian Martinez Rivas, Marco Antonio Ramírez SalinasComputing Research Center CIC-IPN
PC89Shunt resistance effects in GaAs/InGaAs core-shell nanowire solar cellsMaria Androulidaki1, Emmanouil Manidakis1,2, Eleftheria Darivianaki2, Zacharias Hatzopoulos2, Siew-Li Tan3,4, Nikos Pelekanos1,2
1FORTH/IESL, 2University of Crete, 3Universite Grenoble-Alpes, 4National University of Singapore
PC91Development of novel hydrogels using single-walled carbon nanotubes and phthalocyanine derivativesRyota Arakaki, Takahide OyaGraduate School of Engineering Science, Yokohama National University
PC93Investigation of laser-ablated flexible graphene film forming temperature sensorsZhao-Chi Chen, Tien-li Chang, Pin-Chun Lin, Jing-Yi YangDepartment of Mechatronic Engineering, National Taiwan Normal University
PC95Polyester Textile Based Graphene Pressure SensorXiaoming Wu1,2, Yifang Yang1, Tianling Ren1,2
1Tsinghua University, 2Beijing National Research Center for Information Science and Technology
72
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PC94Accumulated fluence methodology for selective metallic thin-film ablation from susceptible polymer substrate using femtosecond laser pulsesChresten von der Heide, Andreas DietzelTU Braunschweig - Institute Of Microtechnology
PC96Fabrication of stretchable supercapacitor using MnO2 nanoparticles and carbon nanotube on textileTae Gwang Yun, Seungmin Hyun, Chang Soo WooKorea Institute Of Machinery and Materials
PC98Flash reduction of graphene oxide as cost effective fabrication technique for flexible micro-supercapacitors Seok Hun KangElectronics and Telecommunications Research Institute
PC100Aiming to improve gate controllability of paper transistors using carbon-nanotube-composite papers by using ionic liquidRyuji Iijima, Takahide OyaGraduate School of Engineering Sciense, Yokohama National University
PC104Fabrication of Nanopatterned PVDF-HFP Film Based Flexible Pressure SensorsJueun Kim, Hyungjun Lim, Jaejong LeeKorea Institute of Machinery & Materials (KIMM)
PC108Fabrication and Evaluation of Au-Cu Alloy 3D Structures toward MEMS Movable ComponentsKyotaro Nitta1, Haochun Tang1, Chun-Yi Chen1, Tso-Fu Mark Chang1, Daisuke Yamane1, Shinichi Iida2, Katsuyuki Machida1, Hiroyuki Ito1, Kazuya Masu1, Masato Sone1
1Tokyo Institute of Technology, 2 NTT Advanced Technology Corporation
PC110Polyimide foils with Au conductor traces for subretinal implants with long-term stability comparable to LCPRalf Rudorf2, Anna Drzyzga2, Martin Kokelmann2, Claus J. Burkhardt1, Alfred Stett2
1NMI Reutlingen, 2Retina Implant AG
PC112Effects of Fixed End Structure on Temperature Dependence of Structure Stability of Ti/Au Micro-Cantilever toward MEMS ApplicationHitomi Watanabe1, Masato Sone1, Tso-Fu Mark Chang1, Chun-yi Chen1, Shinichi Iida2, Daisuke Yamane1, Hiroyuki Ito1, Katsuyuki Machida1, Kazuya Masu1
1Tokyo Institute of Technology, 2NTT Advanced Technology Corporation
PC97Fabrication of a Flexible Meander Antenna for Saw Remote Sensing ApplicationsLeonardo Lamanna1,2, Luigi Piro1,2, Ilaria Marasco3, Giovanni Niro3, Francesco Guido1, Luciana Algieri1, Vincenzo M. Mastronardi1, Antonio Qualtieri1, Francesco Rizzi1, Massimo De Vittorio1,2, Antonella D’Orazio3, Marco Grande3
1Center for Bio-Molecular Nanotechnologies, Istituto Italiano di Tecnologia, 2Dipartimento di Ingegneria dell’Innovazione, Università del Salento, 3Dipartimento di Ingegneria Elettrica e dell’Informazione, Politecnico di Bari
PC99Ni-P/PET Fiber Prepared by Supercritical CO2 Catalyzation for Wearable Device ApplicationsKenichi Tokuoka1, Wan-Ting Chiu3, Chun-Yi Chen1, Tso-Fu Mark Chang1, Akiko Saji2, Hiromichi Kurosu2, Masato Sone1
1Tokyo Institute Of Technology, 2Nara Women’s University, 3The University of Tokyo
PC101Copper micro-electrode fabrication using laser printing and laser sintering processes for on-chip antennas on flexible integrated circuitsO. Koritsoglou1, I. Theodorakos1, F. Zacharatos1, M. Makrygianni1, D. Kariyapperuma2, R. Price2, B. Cobb2, S. Melamed3, A. Kabla3, F. de la Vega3, I. Zergioti11National Technical University of Athens, Physics Department, 2PragmatIC, 3PV Nano Cell Ltd
PC103The Flexible/Stretchable Interconnection and TFT for AM Micro LED DisplayJae Bon Koo, Chan Woo Park, Jong-Heon Yang, Sung-Haeng ChoElectronics and Telecommunications Research Institute (ETRI)
PC107Ultrafast Laser Ablation of Flexible Graphene Micro-Heater for Wearable ApplicationChien-ping Wang1, Ming-Hong Xiao1, Tien-Li Chang2
1Department of Mechanical Engineering, National Taipei University of Technology, 2Department of Mechatronic Engineering, National Taiwan Normal University
PC111An integrated fabrication method of micro RF coaxial transmitter on metal substrate combining positive and negative photoresist processesLiqun Du1, Min Han2, Ming Zhao1, Chengquan Du1
1School of Mechanical Engineering, Dalian University of Technology, 2Faculty of Electronic Information and Electrical Engineering, Dalian University of Technology
73
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PC114High Efficient and Cost Effective Hybrid Aluminium Nitride Substrates for Power LED ModulesChien-ping Wang1, Yuan-Chun Huang2, Hao-Qi Liu1
1Department of Mechanical Engineering, National Taipei University of Technology, 2Department of Mechanical Engineering, Chung Yuan Christian University
PC116A Novel High-performance RF-MEMS Resonator with Multiple Mode GenerationsZeji Chen1,2,3, Xiao Kan1,2,3, Tianyun Wang1,2,3, Quan Yuan1,2, Jinling Yang1,2,3, Fuhua Yang1,2,3
1Institute of Semiconductors, Chinese Academy of Sciences, 2University of Chinese Academy of Sciences, 3State Key Laboratory of Transducer Technology
PC1183D tactile microprobe with isotropic kinematics for industrial micro metrologyDavid Metz1, Stephan Jantzen2, Karin Kniel2, Martin Stein2, Andreas Dietzel11Technische Universität Braunschweig, Intitute of Microtechnology, 2Physikalisch-Technische Bundesanstalt (PTB), Department of Coordinate Metrology
PC120Electrodeposition of TiO2 Nanoparticle Reinforced High Strength Au Film for MEMS ApplicationsYu-An Chien, Tso-Fu Mark Chang, Chun-Yi Chen, Daisuke Yamane, Hiroyuki Ito, Katsuyuki Machida, Kazuya Masu, Masato SoneInstitute of Innovative Research, Tokyo Institute Of Technology
PC122Self-Aligned, High Resolution Conductive Lines for Micro Heaters FabricationVito Matteo Di Pietro, H. M. Außerhuber, T. Mitteramskogler, P. Kulha, F. R. Tessarollo, M. MühlbergerProfactor Gmbh
PC124Dynamic performance of symmetric and asymmetric anti-spring structuresYang Gao, Hongcai Zhang, Zhuangde Jiang, Xueyong WeiXi’an Jiaotong University
PC126Fabrication and characterization of arrayed micro-structure with Ni film springs and photolithographed SU-8 micro-pins for Tactile Display DeviceKazuki Tuji1, Jiale Xu1, Konomu Abe2, Tomomi Shimizu2, Hiroyasu Hasegawa2, Takashi Mineta1
1Yamagata University, 2TokaiRika CO. LTD
PC113Sample Geometry Effect on Mechanical Property of Electrodeposited Gold Evaluated by Micro-Bending TestKosuke Suzuki1, Masato Sone1, Ken Hashigata1, Chun-Yi Chen1, Takashi Nagoshi2, Tso-Fu Mark Chang1, Daisuke Yamane1, Hiroyuki Ito1, Katsuyuki Machida1, Kazuya Masu1, Keisuke Asano1
1Tokyo Institute of Technology, 2National Institute of Advanced Industrial Science and Technology
PC115Ultra-smooth Chip Scale Sensors for Adaptive Airfoil ControlJan Niklas Haus, Martin Schwerter, Marcel Gäding, Monika Leester-Schädel, Andreas DietzelTU Braunschweig, Institut für Mikrotechnik
PC117A facile method of direct stiffness measurement for AFM cantileversLukas Avilovas, J.M.R Weaver, P.S. DobsonThe University Of Glasgow
PC119In-situ TEM fatigue testing system for nanomaterials using an electrostatic actuatorYan Cui1, Yang Yang1,2, Meng Liu1, Tie Li1, Yuelin Wang1
1Science and Technology on Microsystem Laboratory, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, 2University of Chinese Academy of Sciences
PC121Design, Fabrication and Characterization of Piezoelectric Cantilever MEMS for Underwater ApplicationBasit Abdul1,2, Vincenzo Mariano Mastronardi1, Antonio Qualtieri1, Francesco Guido1, Luciana Algieri1, Francesco Rizzi1, Massimo De Vittorio1,2
1Center For Bio Molecular Nanotechnologies Lecce (Istituto Italiano di Tecnologia), 2University of Salento
PC123Frequency control of bifurcation in the electrically coupled micromechanical resonator Tian L2, Wei X1, Pu D1, Jiang Z1, Ren J3
1Xi’an Jiaotong University, Xi’an, China, 2Chongqing Technology and Business University, Chongqing, China, 3Chang’an University, Xi’an, China
PC125Adjustment method of MEMS dual-cantilever deflection using plastic deformation of metal thin film by thermal annealingMasaru Tanaka1,2, Y. Iijima1, Y. Masuda1, T. Sato1, T. Mineta1
1Graduate School of Science and Engineering, Yamagata University, 2National Institute Of Technology, Tsuruoka College
74
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PC128Nonlinear Nanomechanical Mass Spectrometry at the Single-Nanoparticle LevelMert Yuksel1, Ezgi Orhan1, Cenk Yanik2, Atakan B. Ari1, Alper Demir4, Ahmet Hakan Karakurt1, Ramazan Tufan Erdogan1, M. Selim Hanay1,3
1Department of Mechanical Engineering, Bilkent University, 2Sabanci University SUNUM Nanotechnology Research Center, 3National Nanotechnology Research Center (UNAM), Bilkent University, 4Department of Electrical Engineering, Koc University
PC132Towards Fabrication of Functionalised Polymer membranesNadezda Prochukhan, Abbie Keegan, Michael A. MorrisTrinity College Dublin, University Of Dublin
PC134Highly-doped in-plane Si electrodes embedded between free-hanging microfluidic channelsYiyuan Zhao1, H.-W. Veltkamp1, T.V.P. Schut1, J. Groenesteijn2, M. J. de Boer1, R.J. Wiegerink1, J.C. Lotters1,2
1MESA+ Institute for Nanotechnology, University of Twente, 2Bronkhorst High-Tech BV
PC136Impedance spectroscopy of electrostatically driven MEMS resonatorsKrzysztof Kwoka1, Tomasz Piasecki1, Karolina Orłowska1, Paulina Szymanowska1, Andrzej Sierakowski2, Teodor Gotszalk1
1Wroclaw University of Technology, 2Insititute of Electron Technology
PC138New method of monitoring the intrinsic strain during electrochemical deposition process using rosette gaugeDjaffar Belharet1, Xavier Gabrion2, Anthony Courtot1, Laurent Robert1, Samuel Queste1, Gwenn Ulliac1
1MIMENTO, FEMTO-ST, 2DMA , FEMTO-ST
PC140INNOVATION-EL: The National Nanotechnology Infrastructure Network of GreeceVasisileios Kilikoglou1, Philomela Komninou3, Spyridon H. Anastasiadis2, Efstratios I. Kamitsos4, Dimitrios Tsoukalas5, Vassileios Bourganos6, Michail Karakasidis7, Eleni Makarona1, Mirto Holiastou8
1Institute of Nanoscience and Nanotechnology, NCSR Demokritos, 2Institute of Electronic Structure and Laser, Foundation for Research and Technology Hellas, 3Electron Microscopy Laboratory, School of Physics, Aristotle University of Thessaloniki, 4Theoretical and Physical Chemistry Institute, National Hellenic Research Foundation, 5School of Applied Mathematical and Physical Sciences, National Technical University of Athens, 6Institute of Chemical Engineering Sciences, Foundation for Research and Technology Hellas, 7Department of Materials Science & Engineering, School of Engineering, University of Ioannina, 8Hellenic Institute of Metrology
PC127Large scanning range optical phased array with a compact and simple optical antennaPengfei Wang1,2,3, Guangzhen Luo1,2,3, Yajie Li1,2,3, Wenyu Yang1,2,3, Hongyan Yu1,2,3, Xuliang Zhou1,2,3, Yejin Zhang1,2,3, Jiaoqing Pan1,2,3
1Key Laboratory of Semiconductor Materials Science, Institute of Semiconductors, Chinese Academy of Sciences, 2Center of Materials Science and Optoelectronics Engineering, University of Chinese Academy of Sciences, 3Beijing Key Laboratory of Low Dimensional Semiconductor Materials and Devices
PC129Full Electrostatic Control of Nanomechanical BucklingSelcuk Oguz Erbil1, Utku Hatipoglu1, Cenk Yanık2, Mahyar Ghavami1, Atakan B. Arı1, Mert Yuksel1, Berke Demiralp1, Mehmet Selim Hanay1,3
1Bilkent University, Department of Mechanical Engineering, 2Sabanci University SUNUM Nanotechnology Research Center, 3Bilkent University National Nanotechnology Research Center (UNAM)
PC131Electromagnetical cantilevers for force spectroscopy Metrology-study of elecrothermal and electromagnectic actuation efficiencyBartosz Pruchnik1, Tomasz Piasecki1, Karolina Orłowska1, Wojciech Majstrzyk1, Andrzej Sierakowski2, Teodor Gotszalk1
1Faculty of Microsystem Electronics and Fotonics, Wrocław University Of Science And Technology, 2Institute of Electron Technology
PC133Parallel Tensile-mode Testing Of Single Crystal Silicon By Specimen Integrating Shear Strain Gauge Yuki Yamazaki, Yoshikazu Hirai, Toshiyuki Tsuchiya, Osamu TabataKyoto University
PC135Novel Hybrid Silicon Microprobes for High-density Neural Activity RecordingAshley Novais, Carlos Calaza, João GasparInternational Nanotechnology Laboratory
PC137Fire smoke detection with quartz crystal microbalance (QCM) oscillation sensorSumi Yoon, Dong-ki Hong, Hye-Lim Kang, Won-Hyo Kim, Woo Kyeong Seong, Kook-Nyung LeeKorea Electronics Technology Institute(KETI)
PC139Thermally Activated Discharging Mechanisms in SiNx Films with Embedded CNTs for RF MEMS Capacitive SwitchesMatroni Koutsoureli1, George Stavrinidis2, Dimitrios Birmpiliotis1, George Konstantinidis2, George Papaioannou1
1Physics Department, University of Athens, 2IESL – FORTH
PC141Towards a Lab-on-Chip micro-calorimeter based on a fully-integrated CMOS-MEMS oscillatorRafel Perelló-Roig, Jaume Verd, Dr. Jaume SeguraGSE-UIB / Universitat de les Illes Balears
75
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PC142Large area Silicon-energy filters for ion implantationTamas Steinbach1, Constantin Csato2, Florian Krippendorf2, Florian Letzkus1, Michael Rüb2,3, Joachim Burghartz1
1Institut Für Mikroelektronik Stuttgart (IMS-CHIPS), 2mi2-factory GmbH, 3Ernst-Abbe-Hochschule Jena
PC144Improvement in IR absorption and thermal properties of a-Si based μ-bolometers by adopting the resistive hall-array patternTae Hyun Kim1, Jaeseob Oh1, Jongcheol Park1, Joo-Yun Jung2, Daewon Hong1, Hee Yeoun Kim1, Jong-Kwon Lee1
1National Nanofab Center, 2Korea Institute of Machinery and Materials
PC146micro-Coriolis mass flow sensor with improved flow sensitivity through modelling of the sensorThomas V. P. Schut1, Remco J. Wiegerink1, Joost C. Lötters1,2
1University of Twente, 2Bronkhorst High-tech BV
PC148A stochastic model with IoT gas detector based on AI-enabled technique for predicting particulate matters of 2.5 μm and 10 μmYa-Wei Lee1, Tien-Li Chang2
1National Defense University, 2National Taiwan Normal University
PC150Optimization of solder paste laser printing parameters for the assembly of electronic devicesMarina Makrygianni1, Elena Margariti1, Nikos Oikonomidis2, Christos Spandonidis2, Ioanna Zergioti11National Technical University of Athens, Physics Department, 2Prisma Electronics SA
PC152Electrical connection enhancement of conductive 3D printed parts based on PEDOT:PSS by metal platingValentina Bertana1, Giorgio Scordo1, Stefano Romano1, Carmelo Nicosia1, Simone Luigi Marasso1,2, Matteo Cocuzza1,2, Sergio Ferrero1, Candido Fabrizio Pirri1,3, Luciano Scaltrito1
1Chilab Laboratory, Department of Applied Science and Technology, Polito, 2CNR-IMEM, 3CSFT-IIT
PC154On-chip beta spectrometry with absorber-embedded radionuclidesPatryk Krzysteczko1, Michael Paulsen1, Lina Bockhorn2, Cornelia Aßmann1, Erik Bork1, Jörn Beyer1
1PTB, 2PTB
PC156Room-temperature direct bonding of LiTaO3 and SiC wafers for future SAW filterRyo Takigawa1, Jun Utsumi21Kyushu University, 2Mitsubishi Heavy Industries Machine Tool Co., Ltd
PC143Influence of the features deformation caused by cutting forces in micro end milling process for thin-walled copper electrode partsSeok Jae Ha1, Jeong Yeon Park1, Gil Sang Yoon1, Tae Sung Jung2
1Korea Institute of Industrial Technology, 2Inha Technical College
PC145Spectrum decomposition analysis of light-emitting diode with designed electrode and InGaN/GaN quantum wells as an active regionYohei Nishidate1, Irina Khmyrova1, Yulia Kholopova2, Anatoly Kovalchuk2, Valery Zemlyakov3, Ivan Maximov4, Sergei Shapoval21University οf Aizu, 2IMT RAS, 3NRUET, 4Lund University
PC147FEM simulation for 3D self-folding using thermoplastic reflow of polymer actuatorsRan Zhang, Andreas Richter, Robert KirchnerTechnische Universität Dresden
PC149A Novel Method for Depositing Patterned BCB using Spotter for Low Temperature Wafer Level BondingShruti Jain, Michal M. Mielnik, Sigurd T. MoeSINTEF Digital
PC151Au/Ti double-layered films for bonding and residual gas gettering in MEMS encapsulationYuichi Kurashima1, Takashi Matsumae1, Eiji Higurashi1, Shinya Yanagimachi1, Hideki Takagi1, Mr. Sudiyarmanto2, Eiichi Kondoh2
1National Institute of Advanced Industrial Science and Technology, 2University of Yamanashi
PC153Reactive Bonding by Integrated Nanostructured Al/Pd Multilayer Thin Film Systems for MEMS Packaging ApplicationsEl-mostafa Bourim, Il-Suk Kang, Hee Yeoun KimNational NanoFab Center, Department of Nanostructure Technology
PC155Basic study of position measurement system at tip of surgical forceps which is sensor fusion typeTomoki Nishino1, Hiroshi Tanigawa2, Yakichi Higo2, Takashi Furutsuka2, Kyoichi Deie3, Tetsuya Ishimaru4, Tadashi Iwanaka4
1Ritsumeikan University, 2The Research Organization of Science and Technology, Ritsumeikan University, 3Kitasato University Children’s Surgery, 4Saitama Children’s Medical Center Surgery
PC157Evidence of Cation Selective Nano-Sized Conducting Filament Formation in Resistive Switching Memories Dip Das, P. Johari, A. KanjilalShiv Nadar University
76
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PD – Lab-on-a-chip
PD02A nanofluidic concentrator integrating long vertical nanotrenchetched with an optimized ICP-RIE processSokhna Mery Ngom1, François-Damien Delapierre2, Stephane Guilet1, Edmond Cambril1, Fatima Florès-Galicia1, Jean Gamby1, Antoine Pallandre3, Isabelle Le Potier1, Anne-Marie Haghiri-Gosnet1
1C2N - CNRS - U-Psud, 2SPEC - CEA, 3LCP - CNRS-Université Paris-Sud
PD04Porous polymer coatings on SS microneedles (MNs) for glucose-responsive insulin deliveryAsad Ullah, Hye Jin Choi, Haroon Khan, Jin Ho Choi, Gyu Man KimKyungpook National University
PD06Investigation of Squeeze Flow Problem on a Nano-ScaleSandrien Verloy1,2, Han Gardeniers1, Gert Desmet2
1University of Twente, 2Vrije Universiteit Brussel
PD08Biomimetic membranes manipulation in microfluidics: towards on-chip micropipetteMarianne Elias1,2, Adrien Dutoya1, Adrian Laborde1, Aurelie Lecestre1, Costanza Montis2, Debora Berti2, Barbara Lonetti3, Clément Roux3, Pierre Joseph1
1LAAS-CNRS, Université de Toulouse, CNRS, UPS, 2CSGI and Department of Chemistry, University of Florence, 3IMRCP, Université de Toulouse, CNRS, UPS
PD10PID temperature control system based Microfluidic PCR chip for genetic analysisHyo Eun Kim1, Hang-Beum Shin2, Yong-Sang Kim1
1Department of Electrical and Computer Engineering, Sungkyunkwan University, 2Corporate R&D, LG Chem
PD12Rapid prototyping of a MEMS-based droplet dispenser using 3D printingAli Maziz1, Remi Courson1, Fabien Mesnilgrente1, Elodie Bidal2, Loic Leroy2, Neso Sojic3, Laurent Malaquin1, Thierry Leichle1
1LAAS-CNRS, 2INAC-SyMMES, 3ISM
PD14Open Microchannels filled with Nanoparticle InksTina Mitteramskogler, Vito Matteo Di Pietro, Helene Ausserhuber, Michael MuehlbergerProfactor Gmbh
PD16Parallel Ejection of pL-Droplets with Pneumatic Valve Integrated Micronozzle ArrayGaurav Pandey, Rohit Bhardwaj, Kentaro Tanagi, Azusa Kage, Takayuki Shibata, Moeto NagaiToyohashi University of Technology
PD – Lab-on-a-chip
PD01Fabrication of free-hanging tubes for a high flow micro Coriolis mass flow meterJarno Groenesteijn1, Meint de Boer2, Jack van Putten1, Wouter Sparreboom1, Joost Lotters1,2, Remco Wiegerink2
1Bronkhorst High-Tech BV, 2MESA+ Institute or Nanotechnology, University of Twente
PD03Performance of the electrochemical microactuator with a millisecond response timeIlia V. Uvarov1, Artem E. Melenev1, Mikhail V. Lokhanin2, Victor V. Naumov1, Vitaly V. Svetovoy3,4
1Valiev Institute Of Physics And Technology Of Russian Academy Of Sciences, Yaroslavl Branch, 2P.G. Demidov Yaroslavl State University, 3Zernike Institute for Advanced Materials, University of Groningen, 4A.N. Frumkin Institute of Physical Chemistry and Electrochemistry RAS
PD07Membrane integration for glass Organ-on-a-Chip systems using a reversible sealing techniqueEugen V. Koch, Andreas DietzelInstitute of Microtechnology, Tu Braunschweig
PD09Polymer supports for serial protein crystallography at X-ray free electron lasersCelestino Padeste1, Isabelle Martiel1, Agnieszka Karpik1,2, Per Magnus Kristiansen2,1
1Paul Scherrer Institut, 2FHNW University of Applied Sciences and Arts Northwestern Switzerland
PD11UV lithography based fabrication of SU-8 microneedles for drug delivery applicationsAjay Ap, Amitava DasGupta, Dhiman ChatterjeeIndian Institute of Technology Madras
PD13Femtosecond Laser structuring with online control of polarization for advanced and buried microfluidic structuresSven Meinen1,2, Jonathan Kottmeier1,2, Steffen Brinkmann1,2, Andreas Dietzel1,21Institute of Microtechnology, Technische Universität Braunschweig, 2Center of Pharmaceutical Engineering (PVZ), Technische Universität Braunschweig
PD15Soft X-Ray Compatible Liquid CellsAlokik Kanwal1, B. Robert Ilic1, Subhrangsu Mukherjee1, Eliot H. Gann1, Cheng Wang2, Isvar A. Cordova2, Dean DeLongchamp1, James Alexander Liddle1
1National Institute of Standards and Technology, 2 Lawrence Berkeley National Laboratory
PD19Compact platform for automated cell culture and stem cell differentiationGabriele Pitingolo1, Yong He1, Boxin Huang1, Li Wang2, Jian Shi2, Yong Chen1
1École Normale Supérieure-PSL Research University, Sorbonne Universités - UPMC Univ Paris 06, CNRS UMR 8640 PASTEUR, 2MesoBioTech
77
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PD18Open and Closed Microfluidic Wall Modifications and in-situ Reactions via a new Atmospheric Plasma ApparatusAngelos Zeniou1,2, Dionysia Kefallinou1, Nikos Vourdas1, Angeliki Tserepi1, Evangelos Gogolides1
1Institute of Nanoscience and Nanotechnology, National Center for Scientific Research Demokritos, 2Department of Physics, University of Patras
PD22Novel Thermoplastic Fluoroelastomer for Rapid Fabrication of Chemically Compatible Microdevices Alexander H. McMillan1,2, Maarten B.J. Roeffaers2, Sasha Cai Lesher-Perez1
1Elvesys Microfluidic Innovation Center, 2Department of Microbial and Molecular Systems, Centre for Surface Chemistry and Catalysis (COK), KU Leuven
PD26Fast and label-free procalcitonin determination with a White Light Reflectance Spectroscopy sensorDimitra Tsounidi1, Georgios Koukouvinos1, Dimitrios Goustouridis2, Ioannis Raptis2, Vassilios Tsaousis3, Chrysanthos Mitropoulos3, Sotirios Kakabakos1, Panagiota Petrou1
1NCSR Demokritos, 2ThetaMetrisis S.A., 3Medicon Hellas S.A.
PD28Magnetic design of multi-component nanoprobes for biomolecular diagnosticsHubert Brueckl1, Astrit Shoshi1, Michael J. Haslinger2, Tina Mitteramskogler2, Michael Muehlberger2, Joerg Schotter3, Stefan Schrittwieser3
1Department for Integrated Sensor Systems, Danube University Krems, 2PROFACTOR GmbH, 3AIT Austrian Institute of Technology
PD30Individually addressable two-electrode electrochemical cell array sharing a single reference/counter electrode for enzyme activity measurementsManuel Gutiérrez-Capitán, Ángel Merlos, Antoni Baldi, César Fernández-SánchezInstituto de Microelectrónica de Barcelona (IMB-CNM), CSIC
PD32Fast and Accurate Detection of Hydrogen PeroxideLisa-Marie Wagner1, Matthias Pilecky2, Karlheinz Kellner1, Thomas Posnicek1, Giulia Mazza1, Martin Brandl11Department for Integrated Sensor Systems, Danube University Krems, 2Department for Health Sciences and Biomedicine, Danube University Krems
PD21Design of microfluidic platforms for super resolution imaging of liver sinusoidal endothelial cell dynamicsAlessandra Dellaquila1,2, Aurélie Vigne1, Thomas Huser2, Sasha Cai Lesher-Perez1
1Elvesys, 2University of Bielefeld
PD23Vapor Chamber / Heat Spreader with Wettability – Patterned CondenserTheodoros P. Koukoravas, Georgios Damoulakis, Constantine MegaridisUniversity Of Illinois At Chicago
PD27An amphiphilic copolymer-based chemocapacitor array for selective and sensitive sensing of volatile organic compoundsAnastasia Nika1, Petros Oikonomou2, Theodore Manouras3, Panagiotis Argitis2, Maria Vamvakaki3,4, Merope Sanopoulou2, Ioannis Raptis2, Margarita Chatzichristidi11Department of Chemistry, National And Kapodistrian University Of Athens, 2Institute of Nanoscience and Nanotechnology, NCSR “Demokritos”, 3Department of Materials Science and Technology, University of Crete, 4Institute of Electronic Structure and Laser, Foundation for Research and Technology-Hellas
PD29Microscale Modelling and Simulation of Gas Sensor Based on MoS2 Hollow Spheres Zhenyu Yuan, Kaiyuan Zuo, Tong Wu, Junfeng Li, Xin Lin, Yichi TongNortheastern University, China
PD31Atomic Gold Clusters Modified Polyaniline toward Highly Selective and Sensitive Electrochemical SensorYu-An Chien1, Parthojit Chakraborty1, Wan-Ting Chiu2, Chun-Yi Chen1, Tso-Fu Mark Chang1, Takamichi Nakamoto1, Masato Sone1
1Institute of Innovative Research, Tokyo Institute of Technology, 2Institute of Industrial Science, The University of Tokyo
PD33Point-of-care test based on an asymmetric optofluidic grating for buruli ulcer detectionFoelke Purr1,2, Matthias Stehr3, Mahavir Singh3, Thomas P. Burg2,4, Andreas Dietzel11Technische Universität Braunschweig, Institute of Microtechnology, 2Max Planck Institute for Biophysical Chemistry, 3Lionex Diagnostics & Therapeutics GmbH, 4Technische Universität Darmstadt, Department of Electrical Engineering and Information Technology
PD35Carbon nanogap electrode arrays for electrochemical sensors and biosensorsStefan Partel, Volha MatylitskayaVorarlberg University of Applied Sciences
78
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PD34NFC Antenna and Biosensor Electrode Fabrication on Intraocular LensJunhyoung Ahn1, Kangho Lee2, Daekyung Jung1,3, Soongeun Kwon1, Geehong Kim1, Keebong Choi1, Hyungjun Lim1,3, JaeJong Lee1,3
1Department of Nano Manufacturing Technology, Nano-Convergence Mechanical Systems Research Division, Korea Institute Of Machinery & Materials, 2Department of Rehabilitation Engineering, Daegu Research Center for Medical Devices & Rehab. Engineering, Materials Science & Engineering, Korea Institute Of Machinery & Materials, 3Department of Nano Mechatronics, University of Science & Technology
PD36In vitro detection of pathogenic bacteria by phospholipase A activity for an integrated biosensor in domestic water systemsSylvia Schneider, Jörg Ettenauer, Lisa Brandl, Martin BrandlDepartment for Integrated Sensor Systems, Danube University Krems
PD38Evaluation of various cancer cells lines by four-point probe measurementsGeorgia Paivana1,2, Dimitris Barmpakos2, Sophie Mavrikou1, Charalampos Karavasilis2, Odysseus Tsakiridis2, Grigoris Kaltsas2, Spyridon Kintzios1
1Laboratory of Cell Technology, Department of Biotechnology, Agricultural University of Athens, 2microSENSES Laboratory, Department of Electrical and Electronic Engineering, University of West Attica
PD40Rapid detection of Salmonella typhimurium in drinking water samples by a White Light Reflectance Spectroscopy immunosensor Michailia Angelopoulou1, Panagiota Petrou1, Konstantinos Misiakos2, Ioannis Raptis3, Sotirios Kakabakos1
1Ncsr “demokritos”, INRASTES, Aghia Paraskevi , Greece, 2NCSR ”Demokritos” INN, Aghia Paraskevi, Greece, 3ThetaMetrisis , Athens, Greece
PD42Synthesis of polymer-based nanoparticles for bio-nano applicationMarta Szczęch, Krzysztof Szczepanowicz, Piotr WarszyńskiJerzy Haber Institute of Catalysis And Surface Chemistry, Polish Academy Of Sciences
PD44Dielectrophoretically enhanced detection of E. coli cells by an integrated optical biosensor systemDániel Petrovszki1, Sándor Valkai1, Evelin Gora1, Martin Tanner1, Anita Bányai2, Péter Fürjes2, András Dér1
1Institute of Biophysics, Biological Research Centre, Hungarian Academy of Sciences, 2Institute of Technical Physics and Materials Science, Centre for Energy Research, Hungarian Academy of Sciences
PD37Label-free detection of biomolecules and cells by Localized Surface Plasmon ResonanceSantos Merino1, Deitze Otaduy1, Aritz Retolaza1, Aritz Juarros1, Angela I. Barreda2, Francisco González2, Fernando Moreno2, Alfredo Franco3, Jose Luis Fernández-Luna3
1Ik4-tekniker, 2University of Cantabria, 3Hospital Universitario Marqués de Valdecilla
PD39Particle-based immunoassay: analysis of non-specific allergen-IgE interactionsLacey Chunilal1, Tonatiuh Yescas González1, Victor Gaude1, Annick Barre2, Pierre Rougé2, Lorna Garnier3, Françoise Bienvenu3, Jacques Bienvenu3, Emmanuel Picard4, David Peyrade1
1Laboratoire des Technologies de la Microélectronique LTM-CNRS-UGA, 2Pharmacochimie et Biologie pour le Développement, Université Toulouse III - Paul Sabatier, 3Laboratoire d’Immunologie, Centre Hospitalier Lyon-Sud, 4Laboratoire Silicium Nanoélectronique Photonique et Structures, INAC/SP2M/SiNaPS
PD41pH sensitivity evaluation of nanoFET sensor to extended sensing gate materialHye-Lim Kang, Sumi Yoon, Dong-Ki Hong, Young Joo Kim, Sunga Song, Won-Hyo Kim, WooKyeong Seong, Kook-Nyung LeeKorea Electronics Technology Institute (KETI)
PD45Bridge type micro-platforms with sizes of membrane and bridge-width for low-powered micro gas sensor using MEMS techniquesJoon-shik Park1, Kwang-Bum Park1, Tae-Ho Hwang1, Hyunsung Jung2, Myoungpyo Chun2
1Korea Electronics Technology Institute, 2Korea Institute of Ceramic Engineering & Technology
PD47The improvement of solid-state pH sensor for a case study on neonatal urine monitoringLan Zhang, Jian Lu, Ryutaro MaedaNational Institute of Advanced Industrial Science and Technology
PD49Towards integrated multi-sensor platform for monitoring of cell nutrient, metabolite, pH, viable cell mass, dissolved oxygen and temperature in bioreactorsNurul Izni Rusli1,2, Irene Pia Vincentini3, Michael Kraft1
1Department of Electrical Engineering (ESAT-MICAS), University of Leuven (KU Leuven), 2Department of Electrical Engineering Technology, Faculty of Engineering Technology, Universiti Malaysia Perlis (UniMAP), 3DEIB, Politecnico di Milano
79
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceP
ost
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PD46Patterning of Carbon Quantum Dots based thin films for electronic devices and sensorsApostolos Segkos1,2, Charalampia Kalogirou2, Elias Sakellis1, Nikolaos Boukos1, Konstantinos Kordatos2, Christos Tsamis1
1NCSR Demokritos, 2NTUA - School of Chemical Engineering
PD50Authentication of bilberries by Surface Enhanced Raman SpectroscopyLina Traksele1, Ceslovas Bobinas2, Gitana Alencikiene1, Alvija Salaseviciene1, Valentinas Snitka1
1Kaunas University of Technology, Food Institute, 2Lithuanian Research Centre for Agriculture and Forestry Institute of Horticulture
PD52A plasmonic array of standing wires in the trigonal symmetric arrangement for broadband, polarization insensitive molecular sensingAndrea Giugni, Marco Allione, Bruno Torre, Giovanni Marinaro, Jurgen Kosel, Enzo Di FabrizioKaust - King Abdullah University Of Science and Technology
PD54Evaporation-induced biosensing on superhydrophobic surface Rokon Uddin, Ville Jokinen, Mehran Mohammadi, Sami FranssilaAalto University
PD56Controlled Dispensing and Mixing in Microfluidic Devices for Multiplex Genetic DiagnosisDaigo Natsuhara1, Keisuke Takishita1, Kisuke Tanaka1, Azusa Kage1, Moeto Nagai1, Yuko Mizukami2, Norikuni Saka2, Takayuki Shibata1
1Toyohashi University of Technology, 2Aichi Agricultural Research Center
PD58Droplet-based fluid central processing platform and applicationsYaru Xing1, Xianming Liu2, Yu Liu1, Rifei Chen1, Xing Cheng1
1Southern University of Science and Technology, 2Dalian Institute of Chemical Physics, Chinese Academy of Sciences
PD60Fabrication of a drug delivery tool via Laser-Induced Forward TransferAgamemnon Kalaitzis1, Christina Kryou1, Chrysoula Chandrinou1, Nikolaos Adamopoulos2, Ioanna Zergioti11National Technical University of Athens, 2Galenica S.A.
PD62Reflow Process for Fabricating Curved Shaping Molds of PDMS Microchannels and ChambersShunya Okamoto1,2, Yoshiaki Ukita1
1University of Yamanashi, 2JSPS Research Fellow
PD53A silicon membrane-silver nanoparticles SERS chip for trace molecules detectionKestutis Nemciauskas1, Lina Traksele2, Alvija Salaseviciene2, Valentinas Snitka1
1Kaunas University of Technology, Research Center for Microsystems and Nanotechnology, 2Kaunas University of Technology, Food Institute
PD55Storage of protein coated beads on point-of-care microfluidic cartridges for immunoassay applicationsBenita Johannsen1, Lena Karkossa1, Desirée Baumgartner1, Lara Müller1, Roland Zengerle1,2, Konstantinos Mitsakakis1,2
1Hahn-Schickard, 2Department of Microsystems Engineering – IMTEK
PD57Thermal design of LoC-on-PCBFrancisco Perdigones, Miguel Cabello, Jose M. QueroEscuela Superior de Ingeniería. Universidad de Sevilla
PD59Versatile fabrication technology for microfluidic systemsPatrícia Sousa1, Jordi Llobet1, José Fernandes1, Sara Vidal2, Tamara Delgado2, Perea Otero2, Pablo Romero2, João Gaspar1
1International Iberian Nanotechnology Laboratory, 2AIMEN Technology Centre
PD61Effect of oxygen plasma micro/nanostructured PMMA plates on the adhesion and proliferation of normal and cancer cellsAnastasia Kanioura1, Panagiota Petrou1, Vassilios Constantoudis2, Dimitris Kletsas3, Angeliki Tserepi2, Evangelos Gogolides2, Margarita Chatzichristidi4, Sotirios Kakabakos1
1Institute of Nuclear & Radiological Sciences & Technology, Energy & Safety, NCSR “Demokritos”, 2Institute of Nanoscience & Nanotechnology, NCSR “Demokritos”, 3Institute of Biosciences and Applications, NCSR “Demokritos”, 4Department of Chemistry, University of Athens
PD63A microfluidic device for live cells capturing and phenotyping using dielectrophoresis and metasurface-enhanced infrared reflection spectroscopyGlen Kelp1,2,3, Joy Li3, Junlan Lu3, Nicholas DiNapoli3, Robert Delgado3, Shourya Dutta-Gupta3,4, Gennady Shvets3
1Institute Of Physics, University Of Tartu, 2Department of Physics, University of Texas at Austin, 3School of Applied and Engineering Physics, Cornell University, 4Department of Materials Science and Metallurgical Engineering, Indian Institute of Technology Hyderabad
PD65Circular continuous flow PCR on a PCB microchipPanagiotis Skaltsounis, Georgia Kaprou, Angeliki Tserepi, George KokkorisInstitute Of Nanoscience And Nanotechnology Ncsr “Demokritos”
80
NEM20
19International Conference on
Post
er
Sess
ion
Wednesday, 25th, 2019 (Odd Numbers) Tuesday, 24th, 2019 (Even Numbers)PD64Impulsion system for DNA amplification microdevice integrated on PCBDimitra Kouvara1, Georgia Kaprou1, Francisco Perdigones2, José Manuel Quero2, George Kokkoris1, Angeliki Tserepi11NCSR Demokritos, Institute of Nanoscience & Nanotechnology, 2University of Seville, School of Engineering
PD66The Investigation of Water Disinfection by Deep Ultraviolet Light-Emitting Diodes ArrayProf Chien-ping Wang1, Zong-Ru Yeb2
1National Taipei University of Technology, 2Department of Mechanical Engineering, Chung-Yuan Christian University
PD68Automated and versatile platform for cell culture and cardiac differentiation on engineered microsystemsGabriele Pitingolo1, Yong He1, Boxin Huang1, Li Wang2, Yong Chen1
1École Normale Supérieure-PSL Research University, Sorbonne Universités - UPMC Univ Paris 06, CNRS UMR 8640 PASTEUR, 2MesoBioTech
PD72Photocatalytic Nanofabrication and Intracellular Imaging of Living Cells Using Functionalized AFM ProbeTakayuki Shibata1, Kazutaka Uchida1, Junya Araki1, Miho Ishii-Teshima1, Terutake Hayashi2, Moeto Nagai11Toyohashi University of Technology, 2Kyushu University
PD74Microfluidic channel with embedded monolayer nanofibers for cell culture and co-culture Boxin Huang1, Yong He1, Li Wang2, Jian Shi2, Jie Hu1, Elrade Rofaani1, Ayako Yamata1, Yong Chen1
1École Normale Supérieure-PSL Research University, Sorbonne Universités - UPMC Univ Paris 06, CNRS UMR 8640 PASTEUR, 2MesoBioTech
PD78Cryo-FIB preparation of neuron cell interfaceGregory Panaitov1, Elmar Neumann2, Andreas Offenhaeusser1
1Institute for Bioelectronics, Research Center Jülich, 2Helmholtz Nano Facility, Research Center Jülich
PD82Development of organ-on-chip barrier devices in new soft thermoplasticsEmma Thomée1,2, Alexander McMillan1,3, Alessandra Dellaquila1,4, Alba Calatayud5, Enrique Azuaje Hualde5, Lourdes Basabe-Desmonts5, Sasha Cai Lesher-Pérez1
1Elvesys Microfluidics Innovation Center, 2 University of Strasbourg, CNRS ISIS UMR 7006, 3Department of Microbial and Molecular Systems, Centre for Surface Chemistry and Catalysis (COK), KU Leuven, 4Biomolecular Photonics, Department of Physics, University of Bielefeld, 5BIOMICs Microfluidics Research Group, Microfluidic Cluster UPV/EHU
PD67On-chip synthesis of ruthenium complex in a microchannel by microwave heatingMasaya Takeuchi1, Mitsuyoshi Kishihara2, Toshiro Kobayashi3, Akinobu Yamaguchi1, Takeko Matsumoto-inoue4, Yuichi Utsumi11University of Hyogo, 2Okayama Prefectural University, 3National Institute of Technology, Tsuyama College, 4Minerva Light Lab. Inc.
PD69Development of an automated system for obstructive sleep apnea treatment based on machine learning and breath effort monitoring A-I Kanaris1, K. Tsoutis2, K-N Kanellopoulou1, S. Chatziandroulis1, Vasiliki Tsouti11Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Ag. Paraskevi, 15310, Greece, 2Athens Dental Sleep Medicine, Athens, 11528, Greece
PD713D Scaffolds by soft lithography for retinal tissue reconstructionFrédéric Hamouda1, Stéphane Guilet1, Etienne Herth1, Elise Herardot2, Lise Morizur2, Karim Ben M’Barek2, Christelle Monville2
1C2N/CNRS UMR 9001, 2I-Stem, AFM, INSERM/UEVE 861
PD73Internalization and viability studies of suspended nanowires silicon chips in HeLa cellsSara Duran1, Marta Duch1, Rodrigo Gómez1, Marta Fernández1, Manuel Reina2, Claudia Müller2, Álvaro San Paulo3, Jaume Esteve1, Susana Castel2, José Antonio Plaza1
1Institute of Microelectronics of Barcelona IMB-CNM, (CSIC), 2Departament de Biologia Cel•lular, Universitat de Barcelona, 3Instituto de Microelectrónica de Madrid, IMM-CNM (CSIC)
PD77Nanosecond Laser Irradiation on Cells Using Titanium Thin Film for Massively Parallel Cell Intranuclear DeliveryShin Sawai1, Harsh Gupta1, Tuhin Santra2, Azusa Kage1, Takayuki Shibata1, Moeto Nagai11Toyohashi University of Technology, 2Indian Institute of Technology Madras
PD79In vitro bone marrow tissue development in 3D microfluidic cell culture chambers towards bone marrow-on-a-chip Dionysia Kefallinou1, Maria Grigoriou2, Angelos Zeniou1, Dimitrios T. Boumpas2,3, Evangelos Gogolides1, Angeliki Tserepi11Institute of Nanoscience and Nanotechnology, National Center For Scientific Research “Demokritos”, 2Biomedical Research Foundation Academy of Athens, 34th Department of Medicine, Attikon University Hospital
PD83Sensitive and rapid PCB-based microfluidic platform for monitoring urinary tract infectionsMyrto Filippidou1, Georgia Kaprou1, Sotiris Ntouskas1, George Kokkoris1, Panagiota Petrou2, Dimitris Mastellos2, Stavros Chatzandroulis1, Angeliki Tserepi11Institute of Nanoscience and Nanotechnology, NCSR “Demokritos”, 2Institute of Nuclear & Radiological Sciences & Technology, Energy & Safety, NCSR “Demokritos”
81
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, Greece
82
NEM20
19International Conference on
83
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, Greece
84
NEM20
19International Conference on
85EM
2019
International Conference on
N
Sponsors & Exhibitors
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, Greece
Note: The floor plan in this brochure is correct at the time of printing. Exhibitors are welcome to modify any spaces to their specific requirements. Exhibition management will be pleased to discuss custom stands. A revised floor plan will be included in the Congress final program
The Commercial / technical exhibition will be held in Rodos Palace Hotel.
The conference program will be structured to maximize the opportunity for participants to visit the commercial exhibition.
Index
2 x 2 = 4 sq. meters
2 x 3 = 6 sq. meters
2 x 6 = 12 sq. meters
Exh
ibiti
on a
nd
Post
er
Are
a Pla
n
86
NEM20
19International Conference on
Exh
ibito
rs’ L
ist
Booth 13
Platinum Sponsors - Exhibitors
Booth 38 Booth 6 Booth 12
Gold Sponsors - Exhibitors
Premium Exhibitors
Standard Exhibitors
Homer and Nanotechnology Art
Corner87
Booth 9Booth 3 Booth 1
Booth 2 Booths 4-5Booth 7 Booth 8
Booth 35 Booth 21Booths 40-41 Booth 46
Booth 45 Booth 29 Booth 22Α Booth 22Β
Booth 37 Booth 43 Booth 23
Booth 25 Booth 42α Booth 36 Booth 28
Booth 42β
Booth 34Booth 30 Booth 27 Booth 26
project FLEXPOL EUROPE
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceE
xhib
itors
- S
ponso
rs
88
Platinum Sponsors - Exhibitors
Gold Sponsors - Exhibitors
Premium Exhibitors
NEM20
19International Conference on
Exh
ibito
rs -
Sp
onso
rs
89
Standard Exhibitors
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, Greece
90
NEM20
19International Conference on
91EM
2019
International Conference on
N
Authors’ Index
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceA
uth
ors
’ Ind
exAAbbarchi M. ............A6-1, B10-2 Abdul B. .............................. PC121Abe K. ..................... C2-5, PC126 Abid Z. ........................D1-3, D4-2 Abualnaja F. ........................C8-3Accardo A. ...........................D9-3Adachi S. ..............................D6-3Adamopoulos N. .............PD60Adikimenakis A. ..PB23, PB25, ..................................................PC18 Adjeroud N. ..........B10-3, PC59 Aeppli G. ................................A1-3Agbulut O. ...........................D5-2Ah C. ........... PC21, PC42, PC43Ahmad A. ............................ PA72Ahmad Z. ... B4-3, PB45, PB47Ahn J. ....................................PD34Ahn S. ....................................B9-3Aime C. ..................................D1-2Akama R. ............................ PA30Akrofi J. ..................PC08, PC20Al Jassin-Al-Hashemi E. ... B1-1Alaca B. .................................PB12Alam B. .................................PC38Alava M. .................................A4-1Alayo N. ...............................PC68Albrechtsen M. ...................PA21Aleksandrova M. ..PC73, PC74Alencikiene G. .................. PD50Alexandropoulos D. ....... PA07, .......................PA08, PA09, PC51Algieri L. ....C5-2, PC92, PC97, ................................................ PC121Allione M...... A5-3, D3-1, D8-2, .......................PC26 PC44, PD52 Almpanis E. ............PB78, PB81Aloni S. ..................................C9-2Alshareef H. ........................PC55Altamirano Sanchez E. ....A2-1Altmann F. ............................ A7-3Altug H. ......................D2-2, D6-1Alvarez Feito D. ................. C2-2Alves F. ..................................C4-2Amano H. .............................A7-4Amargianitakis E. .............PC53Amat E. ..................................C8-1Amaya K. ..............................A3-3Anacleto P. .............PC77, PC80Anastasiadis S. ................PC140Anastasiou A. ....................PA07Andreev A............................C8-3Andreoli F. ...........................D4-2Androulidaki M. ...PA45, PB23, ......................PB25, PC18, PC89 Angelopoulou M. .............PD40
Anhøj T. ................................PB32Antunes M. ..........................PA62Ap A. .......................................PD11Aperathitis E. ..................... C8-4Arakaki R. .............................PC91Araki J. .................................PD72Arana A. ................................B8-3Arapis A. ..............................PB82Araya K. ...............................PC67Argitis P. ... A10-1, PB44, PD27Ari A. .................... PC128, PC129Arjona M. ...............................C4-1Arocas J. ..............................PC29Arunachalam S. .. B4-3, PB45, .................................................PB47Arutunov K. ........................PA23Asano K. ............................. PC113Asif M. ...................................PA43Aslanidis E. ............C4-4, PC63Assemat P. ...........................D9-3Aßmann C. ........................PC154Atthi N. .....................B8-2, PB39Außerhuber H. ...PA40, PC122, ..................................................PD14Averkin S. ............................PA68Avilovas L. .......................... PC117Awsiuk K. ............................. B9-4Ay F. ......................................PB67Ayadi Y. ............................... PC60Azuaje Hualde E. ..............PD82
BBabic B. .................................PB51Bagiokis E. ............ PC50, PC52Bahng W. ...............PC04, PC05Baier L. .................................. B3-2Bak S. ....................................C10-1Bakin A. ................................. C7-2Balasubramanian S. .........PC61Baldi A. ................................ PD30Balena A. .......A5-4,C6-4, D1-1, .................................................D10-1Ballesio A. ............................PB61Balliou A. .............................PB22Ban T. ....................................PC25Bano E. .................................PA45Bányai A. ............................ PD44Bao W. ...................................C7-4Barb R. .................................PA27Barbaglia A. .........................C9-1Barbaresco F. ......................D4-2Bardakas A. ....................... PC84Barmpakos D. .... PC105, PD38Barre A. ................................PD39Barreda A. ...........................PD37Bartenlian B. ..................... PC30
Bartha J. ...............................C2-4Barthelmess M. .................PA54Bartošík M. ..........................PB69Basabe-Desmonts L. ......PD82Bastian P. .............................PA55Batzer M. ..............................PA14Baumgartner D. ................PD55Bausells J. .............................C8-1Bayer I. .................................. B2-3Becherer M. ........................PC88Becker J. ..............................PA46Belahcen S. .........................PC02Beleggia M. ..........................PA14Belharet D. ........................ PC138Bellanca G. ..........................PC38Belushkin A. .........................D6-1Ben M’Barek K. ..................PD71Benali A. ............................. B10-2Berbezier I. ........................ B10-2Berenschot E. ................... PB40Berenschot J. ......................PA10Berger G. ..............................A8-3Berggren K. ......................... C7-3Bernasconi S. .....................PC02Bertagnolli E. .................... PA44Bertana V. ............ PC75, PC152Bertani F. ......C2-3, PC41, D9-2 Berti D. ................................ PD08Besbes M. .............. PC30, PC32Besson A. .............................A4-2Beyer J. ..............................PC154Bhardwaj R. .........................PD16Bhattacharya S. ................PC56Bianchini M. ........................PC68Bianco M. ................ C6-4, D10-1Bicer M. .................................PB12Bidal E. ..................................PD12Bienvenu F. .........................PD39Bienvenu J. .........................PD39Bigo A. ...................................D3-1Bijelic G. ............................... D6-4Birmpiliotis D. .................. PC139Bisig S. ....................................A9-1Biswas S. ..............................PB68Blaise P. ................................PC02Blaschke D. .........................PC45Blosse S. ...............................D9-3Bobinas C. .......................... PD50Bockhorn L. ......................PC154Boeck T. ...............................B10-1Boemmels J. ........................A2-1Boisen A. .... D1-3, D4-2, PA34, .................................................PB28Bolat S. .................................... B1-1Bollani M. ............................ B10-2
92
NEM20
19International Conference on
Auth
ors
Ind
ex
Bolt P.................................... PC80Bolten J. ...............................PB38Bonanni S. .............................A9-1Bonse J. ...............................B10-1Bonvalot M. ........................PC02Borgelink B. ....................... PB40Bork E. ................................PC154Botsialas A. .........................PC56Bottein T. ...............................A6-1Bouabdellaoui M. ............ B10-2Boukos N. ........................... PD46Boumpas D. ........................PD79Bourban G. .......................... C2-2Bourganos V. ...................PC140Bourim E. ........................... PC153Bousoulas P. ........................PC14Boussey J. ...........................PA45Bouzga A. .......................... PB50Boyer L. .................................D9-3Brambilla A. ........................PB07Brand S. ................................ A7-3Brandl L. ..............................PD36Brandl M. ................PD32, PD36Braun E. ................................D9-2Braun T. .................................A5-5Bravo J. ................................PB49Bresson P.............................PC32Bretti G..................................D9-2Brianceau P. ........................ B3-2Brinkmann S........................PD13Briz Iceta N. ........................ D6-4Brose S. ...................................A1-1Brox O. .................................PC28Brückl H. ...............................PD51Brueckl H. ...............PA39, PD28Brugger J. ................C6-3, PB31Bruk M. ..................................PA71Bryche J. ................ PC30, PC32Buch Z. ................... PB09, PC47Budkowski A. ......... B9-4, D2-4Buitrago E. ............................ D2-1Bunea A. ..................B6-3, PB79Burg T. ..................................PD33Burghartz J. ..................... PC142Burkhardt C. ......................PC110Busche J. ...............................A5-1Businaro L. ..C2-3, PC41, PD75Busnaina A. ......................... B5-2Bustos K. .............................PA54Buttner U. ...............PB47, PC56
CCabello M. ...........................PD57Cabrini S. ................. A2-4, C9-2Cai J. .................................... PC34
Calafiore G. ..........................C9-2Calatayud A. ......................PD82Calaza C................ PA62, PC135Calò G. ..................................PC38Calvo-Lozano O. .................D6-1Cambel V. .............................PA18Cambril E. .......................... PD02Candeloro P. .........................D3-1Canva M. ................ PC30, PC32Cao J. ........PB62, PB63, PB64, ..................................................PC81Capula Colindres S. .........PC87Cardinaud C. ......................PA63Casolino A. .........................PC37Caspary R. ..........................PA46Castel S. ...............................PD73Catinaccio A. ...................... C2-2Čehovski M. .......... PA46, PC23Ceylan H. ..............................C5-5Chakraborty P. ...................PD31Chan B. ...................................A2-1Chandrinou C. ..................PD60Chang B. ..............................PB89Chang S. .................. C9-4, D2-5Chang T. ...................PB15, PB18, ........PB28, PB84, PC93, PC99, ... PC107, PC108, PC112, PC113, ....................PC120, PC148, PD31Chang W. ......B6-1, D2-3, PC83Chapman H.........................PA54Charalambopoulou G. .....PB51Charette P. ..........................PC32Charfi O...............................PC23,Charpin-Nicolle C. ...........PC02Chatterjee D. ........................PD11Chatzandroulis S. ........... PC66, ...................................PD69, PD83Chatzichristidi M..............A10-1, ..........PB44, PB88, PD27, PD61Checcucci S. .........................A6-1Chen C. ..................................C9-2Chen C.Y. PC99, PC108, PC112, .....................PC113, PC120, PD31Chen H...................... C9-4, D2-5Chen J. .....PB62, PB63, PB64, ..................................................PC81Chen L. .................................PC72Chen R. .................................PD58Chen W. .................PC39, PC80Chen X. .................................PC56Chen Y. ........A7-5, A10-2, B6-1, C5-1, ................................................ C7-4, D1-2, D2-5, PA12, .........PA69, PB29, PC33, PD19, ................................... PD68, PD74Chen Z. ....... A4-1, PA69, PB29, ...................................PC93, PC116Cheng X. ...............PC34 , PD58
Cheon S. ................ PC42, PC43Chiabrera F. ........................PC68Chien M. ...............................PC47Chien Y. ..................PC120, PD31 Chiu W. .................... PC99, PD31Chiu Y.....................................C3-2Cho C. ....................... PB17, PB43Cho S. ....... PC21, PC42, PC103Choi H. .....................PB31, PD04Choi J. .......D10-2, PC69, PD04Choi K. ..................................PD34Choi Y. ..................................PA53Chong H. ................PC08, PC20Chopdekar R. .......................A4-1Chou C. ......................D8-1, PB15Chouiki M. ............................A8-3Choulis S. ..............................C5-3Chuai W. .............................D10-4Chun M. ............................... PD45Chung S. ..... B8-1, PA26, PB58Chunilal L. ...........................PD39Ciccacci F. ...........................PB07Ciccirillo F............................PC92Ciosek J. ............................. PB48Clark M. ..................................B7-2Claude J. ............................. B10-2Clemente J..........................PB49Clime L. ...................................B7-1Cobb B. ...............................PC101Cocuzza M. .............B7-4, D4-2, .................................. PC75, PC152 Collienne S. .........................PC24Colonna G. ..........................PC92Coluccia A. ...........................D5-1Cong C. .................................PC19Constantinides G. ..............PB51Constantinou P. ...................A1-3Constantoudis V. ...............A3-1, .........PA74, PA75, PB24, PB82, .......................PB86, PB88, PD61Cooke M. ...............................A2-4Cordeau M. .........................PC02Cordova I. .............................PD15Cottat M. ..............................PA45Couris S. ................................PC51Courson R. ...............A4-2, PD12Courtot A. ......................... PC138Cousins R. .............................B7-2Creff J. ...................................A4-2Cretu E. ............................... PC90Crockett R. ...........................B6-2Crowe I. ............................... PC09Csato C. ............................. PC142Cuda G. ..................................D3-1Cui J. ......................................C4-5Cui Y. ....................................PC119
93
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceA
uth
ors
’ Ind
exCummins C. ........................PB20Cumont A. ...........................PB59Curson N. ..............................A1-3Cybinska J..............PA25, PA59Czerwiec T. .........................PA63
DDa Costa T. .........................PC69Dąbczyński P...................... B9-4Dais C. ....................................A1-5Dale P. ...................................PC77Dallery J. ............................... B3-2Dallorto S. ............................A2-4Damoulakis G. ...................PD23Daniel L. ................................ C7-3Danylyuk S. ............................A1-1Darivianaki E. .....................PC89Darnon M. ...........................B10-4Das D................................... PC157Das R. .......... B4-3, PB45, PB47DasGupta A. .........................PD11Davazoglou D. ...................PB22Davila D. ...............................PB83Davitt F. ................................PB68De Angelis F. ........................C9-1De Angelis M. .......................D3-1de Boer M. .........................PA65, .................................. PC134, PD01 De la Vega F. .....................PC101De Marneffe J.-F. ................A2-1De Ninno A. .............C2-3, PC41De Nuccio F. ....................... A5-4De Planque M. .................. PC20De Vittorio M. ........ A5-4, A7-1, ............... C5-2, C6-4, D1-1, D5-1, ......................D10-1, PC37, PC38, ...................... PC92, PC97, PC121Decuzzi P. ...............................D1-1Deenen C. .......................... PB40Deie K. ................................ PC155Del Moral A...........................C8-1Delamadeleine E. .............PC53Delapierre F. ...................... PD02Delgado C. ...........................A6-3Delgado R. ......................... PD63Delgado T. ...........................PD59Delhaye T. ........................... PC90Deligeorgis G. ...... C8-4, PB23, ..................................................PC18 Della Casa P........................PC28Dellaquila A. .......... PD21, PD82Delobbe A. ........................... A7-3DeLongchamp D. ..............PD15DeMello J. ............................. D2-1Demir A. ............................. PC128Demiralp B. ....................... PC129
Deng B. .................................A7-5Deng J. .......A10-2, C7-4, PA69Dér A. ................................... PD44Derer J. ..................................PA18Dereux A. ............................PC29Desmet G. ..........................PD06Despont M. .......................... C2-2Dey P. ......................................D6-1Dhuey S. .......A4-1, A2-4, C9-2Di Fabrizio E. ...........A5-3, D3-1, ........ D8-2, PC26, PC44, PC55, .................................................PD52 Di Francesco M. ...................D1-1Di Mascolo D. ........................D1-1Di Pietro V. ............PC122, PD14Di Rienzo M. .......................PC92Dias R.....................................C4-2Dickreuter S. ............C6-1, B3-3Dietzel A. ..... A5-1, D7-1, PC94, .......PC115, PC118, PD07, PD13, .................................................PD33 Diewald S. ............................ PA13Dimitrakellis P. ...................PB53Dimitrakis P. ..........PA23, PA75Dimou A. .............................PB88DiNapoli N. ........................ PD63Dipalo M. ...............................C9-1Dobson P. ........................... PC117Domke M. ........................... PC48Dong L...................................C5-5D’Orazio A. C6-4, PC37, PC97Dore C. ................................A10-3Doundoulakis G. ..PB23,PB25, .................................... PC18, PC53 Dow L. ...................................D3-3Drezner Y................... A7-2, B9-1Drobczynski S. ..................PA25Drouin D. ..............B10-4, PC60Drzyzga A. .........................PC110Du L. ..........................PC57, PC111Duan Y. ................................ PC64Duch M. .....................C4-1, PD73 Duchesne E. ...................... PC60Dudus A. ..............................PA27Duerig U. ...............................A9-1Dufour T. ...............................D5-2Dümpelmann L. .... D6-1, PB85 Duò L. ...................................PB07Duque M. ........................... C10-4Duran S. ...............................PD73Durrani Z. .............................C8-3Dürrenfeld P. .......................PA15Dutoya A. ........................... PD08Dutta-Gupta S. ................. PD63Dyck O. ...................................B1-4
EEberle S. ..............................PB87Ebert M. .................PC08, PC20Echresh A. ...........................PB26Ecoffey S. ...........................B10-4Eden A................................... D7-3Edoff E. ............................... PC80Eftychis S. ...........................PB25Eibelhuber M. .......A8-3, PA06, .................................................PA27Ekinci Y. .......... A1-3, A1-4, A5-5 El Hachemi M. .....B10-3, PC59, El-Demellawi J. .................PC55Elias M. ................................ PD08Ellinas K. .....B4-2, D6-2, PB52, ................................... PB54, PB55Elphick K. .............................PB10Elshaer A. .............B10-4, PC60Elsukovaa A. ........................PA14El-Zohry A. .........................PC55Emnéus J. .............................. PA11Endo K. .................................PC07Engay E. ...................B6-3, PB79Engel L. ...................... B1-2, D3-3Engelmann H. ..........B3-2, C8-1Erbe A. ..................................PC15Erbil S. ................................ PC129Erdogan R. ........................ PC128Erdogan T.............................D3-2Erfle P. .................................... D7-1Ernst O. ................................B10-1Ertl P. .................................... PA44Esmek F. ...............................D8-3Esteve J. ..............................PD73Estevez M. .............................D6-1Ettenauer J. ........................PD36Eychenne B. .......................PC02Eylers K. ...............................B10-1
FFàbrega A. ............................D6-1Fabri-Faja N. ........................D6-1Fadida S. ..............................PA62Fahrbach M. ........................C9-3Faingold Y. ..........................PA62Fan D. ......................................D7-2Fan S. .....................................C3-2Fang Y...................... PC50, PC51Farhan A. ...............................A4-1Fauçon M. .............................B3-4Faustini M. .............................A6-1Favre L. ....................A6-1, B10-2Fechner R. .............................C1-3Fechtig D. ...............B5-4, PA40Fedorov A. ......................... B10-2
94
NEM20
19International Conference on
Auth
ors
Ind
ex
Felici M. ................................PC35Feng B. ......................C5-1, PC33Fernandes J. ......................PD59Fernández M. .....................PD73Fernandez-Cuesta I. .........D8-3Fernández-Luna J. ...........PD37Fernández-Sánchez C. .. PD30Ferrero S. ............. PC75, PC152Ferstl M. ................................A4-3Filippidou M. ......................PD83Finazzi M. ............................PB07Fischer P. .............................PA54Flandre D. ........................... PC90Fleischer M. ..............B3-3, C6-1Florès-Galicia F. ............... PD02Foncy J. ................................A4-2Fonseca H. ..........................PA62Fonseca L. ...........................PC68Fourneau E. ........................PC24Francis L. ............................ PC90Franco A. .............................PD37Francone A. .........................B8-3Franssila S. ..............B2-2, PD54Frei T. ..................................... C2-2Fremont H. ......................... PC60Frericks M............................PC23Fricke J. ................................PC28Fu L. ......................................D10-4Fuchs E. ......A6-3, A9-4, PA49Fujitsuna I. ...........................PC62Fukuda H. ............................ A3-4Fukuda M. ...........................PC27Fukuoka T. ............................PB10Fürjes P................................ PD44Furutsuka T. ...................... PC155Fyrogenis R. ........................D2-4
GGabrion X. ......................... PC138Gäding M. ........................... PC115Gaeitta G. .............................D3-3Gagaoudakis E. ................. C8-4Gamby J. ............................ PD02Gann E. ..................................PD15Gao Y. ................................. PC124Garbayo I. ............................PC68Garcia I. .................................C4-2Gardeniers H. ........ C1-2, PB40, ................................................PD06Gardeniers J. .......................PA10Garnier L. .............................PD39Gasiorowski J. ...................PA27Gaspar J. ................C4-2, PA62, ..................................PC135, PD59Gass V. ................................... C2-2
Gaude V. ..............................PD39Gaulding A. ..........................C9-2Gazi S. ....................................PA18Ge C. .................................... PC90Geidel S. .............................. D6-4Gelloz B. ...............................PB02Gemini L. ..............................B3-4Georgakilas A. .....PB23, PB25, ..................................................PC18Georgiev Y. ..D2-1, PB26, PC15Gerardino A. ..........C2-3, D9-2, .....................................PC41, PD75Gerngroß M. ............ A1-1, A9-3, ....................... PA06, PA15, PA16, ....................................PA52, PA55Gharbi A. ...................B3-2, C8-1Ghavami M. ....................... PC129Ghazali N. ........................... PC08Ghoshal T. ..............A6-4, PA48Giannakopoulos K. .......... C4-4Giannatou E.............A3-1, PA74Giannitelli S. ........................ C2-3Giannotti D. ........................PB07Girard A. ..............................PA63Giraud E. ..............................PA48Girod S. ..................B10-3, PC59Giselbrecht S. .....................D3-4Giugni A. ..... D3-1, D8-2, PC26, ......................PC44, PC55, PD52Gkiolas D. ............................PB54Gogol P. .............................. PC30Gogolides E. ............A3-1, B4-2, ..................... D6-2, PA74, PB24, ........ PB52, PB53, PB54, PB55, ........................PD18, PD61, PD79Golibrzuch M. ....................PC88Goll G. .................................... PA13Gollmer D. .............................C6-1Gomez M. .......................... C10-4Gómez R. .............................PD73Gómez V. ............................ PA04Gómez-Martínez R. ...........C4-1González F. .........................PD37González-García L. ............ B1-2González-López J. .............D6-1Goodyear A. ........................A2-4Gora E.................................. PD44Görrn P. ...................PA33, PA36Gotszalk T. ........... PB87, PC131, ............................................... PC136Götz J. .................................. D6-4Gounet P. .............................. A7-3Goupil G. ............................... A7-3Gourgon C. .........................PA45Goustouridis D. ....D2-4, PB57, .................................................PD26 Graczyk M. ............PA04, PA43
Grande M. ...C6-4, PC37, PC97Granz T. .....................C9-3, PA17Greenzweig Y. ......... A7-2, B9-1Grenci G. ..............................PA35Griffiths J. .............................C8-3Grigoriou M. .......................PD79Groenesteijn J. ... PC134, PD01Grosso D. .................A6-1, B10-2Grüneberger F. ....A9-3, PA06, .........................PA15, PA16, PA55Grützner G. ............ A4-3, PA56Grützner S............................A4-3Grysan P. ...............B10-3, PC59Gu C. ..........PB30, PB34, PB35Guido F. ......C5-2, PC37, PC92, ....................................PC97, PC121 Guilet S. ......PA63, PD02, PD71Guillén E. .............................PC78Gülink J. ....................C9-3, PA17Guneri Yazgi S. ...................PB12Guo Z. ..................................D10-4Gupta H. ...............................PD77Gurioli M. ...............................A6-1Gutiérrez-Capitán M. ..... PD30Guttmann M. .......................A4-3Guzenko V. ...........................A5-5Guzman R. ...........................B6-2
HHa M. ........ PC04, PC05 , PC76Ha S. ....................................PC143Ha T. ......................................PA26Ha Y. ..................................... PB05Haas S. ...................................B5-3Haase A................................ D6-4Habibović P. .........................D3-4Haeger T. .............................PA36Haenschke F. ......................PC45Hagen C. .................A5-2, PB04Haghiri-Gosnet A. ........... PD02Hahn L. ..................................A9-3Hallstein R. ................ A7-2, B9-1 Halsall M. ............................ PC09Hammani K. ........................PC29Hammersley S. ................. PC09Hamouda F. ........... PC30, PD71Han A. ....................................PA14Han J. .....................................PC21Han M. ...................................PC57Hanay M. . D3-2, PC128, PC129Hanein D. ..............................D3-3Hansen O. ........................... PA60Harada A. ........................... PB60Harada T. ...............................A1-2Harjanne M. .........................D2-4
95
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceA
uth
ors
’ Ind
exHartbaum J. ...........A8-3, C7-2, .................................................PA27Hasegawa H. ........ C2-5, PC126Hasegawa Y. ........ PC58, PC62, .................................................PC65 Hashigata K. ...................... PC113Haslinger M. ......... B5-4, PA39, ......................PC78, PD28, PD51Hasumi K. ............................PA76Hatipoglu U. ..................... PC129Hatzopoulos Z. ..................PC89Haus J. ................................. PC115Hayashi T. ............................PD72He Y. ............. D1-2, PB03, PD19, ................................... PD68, PD74Hedhili M..............................PC55Heerkens C. .......................... C2-1Heiderhoff R. .....................PA36Heimala P. ............................D2-4Heinig K. ............................... B3-2Hemanth S. ......................... D6-4Herardot E. ..........................PD71Hersch R. ..............................C6-3Herth E. .................................PD71Hesse J. ................................ D6-4Heyderman L. .....................C4-5Heyroth F. .................A9-3, PA15 Hierold C. ................C8-2, PB87Higo Y. ................................ PC155Higurashi E. ..........PB80, PC151Hilleringmann U. ............... PC10Hinoki A. ..............................PB76Hirai Y. ........ A3-3, PA41, PA42, ............................................... PC133Hirano T. ..............................PC27Hirohata A. ..........................PB10Hoekman M. ........................D2-4Hofmann M. PA19, PA64, PA72, ........................PA73, PB12, PB42Hokai R. ..................................B1-5Hokari R. ............................. PB80Holiastou M. .....................PC140Hollerth C. ............................ A7-3Holmes J. ..................D2-1, PB68Holz M.........PA19, PA64, PA72, .................................................PA73 Holzner F. ..............................A9-1Honda Y. ...............................A7-4Hong D. ...PC137, PC144, PD41Hoogerwerf A. ................... C2-2Hopf R. .................................. B2-3Horiguchi N. .......................PA76Horn M. ................................. D6-4Horváth B. ..................A6-2, B1-1 Hoshian S. ............................ B2-2Hou J. ....................... PC50, PC51Housiadas A. ...................... PB73
Hu J. ........................... D1-2, PD74Hu L. ...........................PB71, PC19Hu R. ..................................... PC20Huang B. .....D1-2, PD19, PD68, .................................................PD74 Huang C. ..............................PC72Huang Y. ..................A4-1, PC114 Huber D. ................................ D7-3Hublot V. ...............................D5-2Hubner J. .................A2-2, PB89Hübner R. .............................PC15Hübner U. .............................PA16Huffman M. ........................ PA04Huo D. ...................................PB79Huser T. .................................PD21Hwang C. .................PC21, PC42Hwang J. ...............................C4-3Hwang S. ...............................PB11Hwang T. ............................. PD45Hwu E. ..................................PB28Hyun S. ................................ PC96
IIacovella F. ..........................PB23Ihring A. ...............................PC45Iida S. ....................PC108, PC112 Iijima R............................... PC100Iijima Y. ............................... PC125Ikota M..................................PA76Ilic B. .......................................PD15Im J. ........................................B9-3Indykiewicz K. ...................PA22Inoue T...................................D6-3Ioannou D. ............................B4-2Ioannou-Sougleridis I. ....PB88Ioardache G. .......................PC56Ionescu A. ............................. D2-1Ishihara M. ............................PB10Ishii M. .................................. PC09Ishii Y. ................................... PB46Ishii-Teshima M. .................PD72Ishikawa Y. .............PC07, PC27Ishimaru T.......................... PC155Islyaykin A. ......................... PB08Ito H. .....................PC108, PC112, .................................. PC113,PC120Ito T........................................PB65Ivanov T. ................. PA72, PA73Iwana S. ............................... PC09Iwanaka T. ......................... PC155
JJackson E. ............................PB10Jahani Y. ................................D6-1Jain S. .................................PC149
Jalaguier E. .........................PC02Jang J. ...................................PB31Jang K. ..................................B9-3Jansen H. ............... A2-2, PA60, .................................................PB89Janseng T. ............................B8-2Jantawong J. .........B8-2, PB39Jantzen S............................ PC118Jeamsaksiri W. ......B8-2, PB39 Jeevanandam G.................A5-2Jefimovs K. ...........................A1-5Jehle A. ..................................A9-1Jensen F. ..................A2-2, PB89Jeong M. ............................. PB05Jermann J. ..........................PC52Jesse S. ..................................B1-4Jiang X. ....................PB19, PC33Jiang Z. ............... PC123, PC124Jin L. ......................................PB02Jin W. .....................................PC19Jo Y. ........................................PC71Jobst G. .................................D2-4Johannes H. .......... PA46, PC23Johannsen B. .....................PD55Johari P. ............................. PC157John-Herpin A. .................. D2-2Jokinen V. ................B2-2, PD54Joly R. .....................B10-3, PC59 Jones M. ................................C8-3Jonker D. ..............................PA10Joseph P. ............................ PD08Juarros A. ............................PD37Jung B. .................................PA53Jung D. .................................PD34Jung H. ................................ PD45Jung J. ................................PC144Jung K. ..................................PC12Jung S. ..................................PC71Jung T. ................................PC143
KKabla A. ..............................PC101Kadala K. ...............................B8-1Kafka J. ................................ D6-4Kage A. ...... PD16, PD56, PD77Kagias M. ...............................A1-5Kahl M. ...................................A9-2Kaiser C. .....................A1-1, PA52Kakabakos S. .......D2-4, PB44, ...................... PD26, PD40, PD61Kaklamani G. .......................C3-3Kalaitzakis F. ......................PA23Kalaitzis A. .........................PD60Kalogirou C. ...................... PD46Kaltsas G. ........... PC70, PC105, .................................................PD38
96
NEM20
19International Conference on
Auth
ors
Ind
ex
Kamalakis T. ........................PC51Kamitsos E. .......................PC140Kampylafka V. .................... C8-4Kan X. ..................................PC116Kanaris A. ........................... PD69Kanellopoulou K. ............. PD69Kang D. ...................PC04, PC76Kang H. ....... PB11, PC137, PD41Kang I. ...................PC05, PC153Kang S. ....................PB57, PC98 Kanioura A. ..........................PD61Kanjilal A. .......................... PC157Kantarelou V. .....................PB66Kanwal A. .............................PD15Kaplan A. .............................PC38Kaprou G. ...............D6-2, PB53, ........PC63, PD64, PD65, PD83Karakasidis M. ..................PC140Karakurt A. ....................... PC128Karavasilis C. ......................PD38Kariyapperuma D. ...........PC101Karkossa L. .........................PD55Karoutsos V. .......... B3-1, PA09, ................................................ PC50Karpik A. .............................PD09Karsenti P. ...........................PC32Karvinen P. ..........................PA54Karydas A. ..........................PB66Kassavetis S. ......................PA78Kastania A. ..........................D6-2Kastl C. ..................................C9-2Kato A. .................................PC62Katsikini M. ..........................B9-2Katzmayr I........................... D6-4Kawabe T. .PC58, PC62, PC65 Kawata H. ................PA41, PA42Kazazis D. .....A1-3, A1-4, A5-5, ..................................................C2-4Kazazis S. ............................PC53Keathley P. ........................... C7-3Keegan A. ......................... PC132 Kefallinou D. ...........PD18, PD79Kehagias N. ............A8-2, B8-3, .................................................PB59Kehagias T. ......................... PB73Kekou V. ...............................PC66Keller S. ..........D1-3, D4-2, PA11, ....................................PA34, PB32 Kellner K. .............................PD32Kelp G. .................... PC82, PD63 Kent N.....................................A4-1Kerasidou A....................... PC84Kern D. ........................B3-3, C6-1 Khan B. ..................................PC15Khan H. ................................PD04Khmyrova I. ......................PC145
Kholopova Y. ....................PC145Kilikoglou V. .....................PC140Killge S. .................................C2-4Kim B. ...........B6-4, PB17, PB43Kim C. .....................................PB11Kim D. .................................. PB05Kim G. ........PC01, PD04, PD34Kim H. ........ PB17, PB43, PC05, ................... PC144, PC153, PD10Kim J. ...........PB17, PB57, PC42, .............................................. PC104Kim K. ......A10-4, PA05, PA26, ..................................... PB57, PC12Kim M. ....................................B9-3Kim P. ....................................PA26Kim S. ...........PC01, PC21, PC42 Kim T. ........ PC04,P C21, PC36, ................................. PC42, PC144Kim W. .................... PC137, PD41Kim Y. ........... C2-5, C4-3, C5-4, ........ C10-1, PC04, PC12, PC36, .......... PC54, PC76, PD10, PD41Kimura Y. ..............................C2-5King J. ....................................D3-4Kintzios S. ...........................PD38Kirchner J. ...............PA19, PA64Kirchner R. ............C2-4, PC147 Kiriakidis G.......................... C8-4Kiryushina I. ....................... PB08Kishihara M. ........................PD67Kishimoto H. ...................... PB60Kister T. .................................. B1-2Kitsara M. .............................D5-2Klein J. ...................................A4-3Klein S. ...................B10-3, PC59 Klein Y. ....................................C1-2Kletsas D. .............................PD61Kling R. ..................................B3-4Klösel K. ................................C8-2Klunngien N. ..........B8-2, PB39Knickmeier S. .......................A5-1Kniel K. ................................ PC118Knigge A. ............................PC28Knuffman B. .........................B9-1Kobayashi T. .......................PD67Koch E. ................................ PD07Koczwara C. ........................PB51Kohl M.....................................C1-3Koike Y. ............................... PB46Koizmi A. ..............................A7-4Kokelmann M. ...................PC110Kokkinis G. .......................... D6-4Kokkoris G. ...........PA77, PD64, ...................................PD65, PD83 Kolander A...........................A4-3Kolosov V. ........................... PB27Kometani R. ..............C1-4, PB13
Komninou P. ........PB73, PC140 Komorowska K. ....PA25, PA59 Komuro S. .......................... PC09Kondoh E. .............PB02, PC151 Konečný M. .........................PB69Kong F. ................................D10-4Kongto N. .............................B8-2Konstantinidis G. ..............C3-3, ..........C8-4, PA45, PB23, PC18, ..................................PC53, PC139 Kontomitrou V. ...... C3-3, C8-4Kontoyiannis I. ................... PA74Koo J. ..................................PC103Koops R. .............................. PA75Kopp S. ................................ PA40Kordatos K. ........................ PD46Koritsoglou O. ..................PC101Kornilios N. ..........................C3-3Kosel J. .................................PD52Kosku Perkgoz N. .............PB67Kostoglou N. .......................PB51Kostopoulos A. ................. C8-4Kottmeier J. .........................PD13Kötz M. ...................................C1-3Koukoravas T. ....................PD23Koukouvinos G. .................PD26Koutsis T. .............................PC70Koutsoureli M. ................. PC139Kouvara D. ......................... PD64Kouvatsos D. ......................PB22Kovalchuk A. ....................PC145Kowal D. ...............................PA25Kowalsky W. ......... PA46, PC23Koyama M. ..........................PA42Kraft M. ............................... PD49Kraus T. .................................. B1-2Krause M. .............................PC78Kravchenko I. .......................B1-4Kriechhammer G. ............. D6-4Krielaart M. ........................... C2-1Krikscikas V. ...................... PC86Krippendorf F. ................. PC142Krischer K. ..........................PC88Kristensen A......................... PA11Kristensen M. ......................D4-2Kristiansen M. .....................B6-2Kristiansen P. ....................PD09Krüger J. ..............................B10-1Kruit P. ........................A5-2, C2-1Kruk T. ................................. PB50Kryou C. ..............................PD60Krzysteczko P. .................PC154Kulha P................................ PC122Kumke M. .............................PA55Kunicki P. .............................PB87Kurashima Y. ..................... PC151
97
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceA
uth
ors
’ Ind
exKurata N.............................. PC03Kurihara K. .............. B1-5, PB80Kurosu H. .............................PC99Kuwano H. .......................... PC86Kuykendall T. .......................C9-2Kwoka K. ............... PB87, PC136 Kwon J. .................................. PB17Kwon S. ................................PD34
LLabbate L. ...........................PC35Laborde A. ......................... PD08Laible F. ......................B3-3, C6-1 Lake J. .........A6-3, A9-4, PA49 Lake S. ...................................PA15Lamanna L. .........................PC97Lamberti A. ........................PC75Lamy de la Chapelle M. PC30Lange F. ...............................B10-1Laourine F. ..........................PA63LaPointe V............................D3-4Larsen N. .............................PB32Laudi E. ................................. C2-2Laulagnet F.......................... B3-2Laurell Th. ............................D4-3Laurini E. ...............................D8-2Lavrinenko A. ....................PB79Le C. .......................................D5-2Le Dain G. ............................PA63Le Potier I. .......................... PD02Lecarme O. ...........................D9-1Lecestre A. ........................ PD08Lechuga L. ............................D6-1Lee C. ...........PA70, PB17, PC83Lee D. ......................PB43, PC54Lee E. ....................................PA26Lee H. ................................... PC04Lee J. ........A10-4, C10-1, PA05, ......PA53, PB11, PC104, PC144, .................................................PD34Lee K. PB11, PC137, PD34, PD41Lee M. ...................................PB57Lee S. .......A10-4, C10-1, PA05, .....................................PC71, PC76Lee T. .....................................PC36Lee Y. ...........C9-4, PB15, PB18, .................................. PB57, PC148 Lees M. ..................................D2-4Leester-Schädel M. ......... PC115Lefebvre P. ...........................D9-3Leichle T................... D9-3, PD12Leifels M. .................PA33, PA36 Lemma E. ................. A5-4, D5-1Lemme M. ...........................PB38Lerch W. ................................ B3-2Leroy L. .................................PD12
Lesher-Perez S. ....PD21, PD22, .................................................PD82Letzkus F. .......................... PC142Li D. ........................................PB59Li J. ............PB30, PB34, PB35, ................................... PD29, PD63Li L. .........................................PB19Li R. .......................................D10-4Li S. ....................................... PC34Li T. ..............C6-2, PB03, PC119Li W. ..................................... PC34Li X. .........................................A5-5Li Y. ......................... PC39, PC127Liang C. ............................... PC34Liddle J. ....................PB21, PD15Lidorikis E. ..........................PA77Lim G. ...................................PA05Lim H. ....................PC104, PD34Limongi T. .............................D3-1Lin C. ...........................B6-1, D2-3Lin F. ......................................PC72Lin P. ......................................PC93Lin X. .....................................PD29Lin Y. ........................................D8-1Lippert T. ...............................A4-1Liu H. ....................................PC114Liu J. PB62, PB63, PB64, PC81Liu M.....................................PC119Liu R. ..........................PB71, PC19Liu X. .....................................PD58Liu Y. .............D1-2, PC50, PC51, ....................................PC72, PD58Livengood R............. A7-2, B9-1Llobet J. ..................PD59, PA62Lofrumento D. ................... A5-4Lohse M. .............................. D6-4Lokhanin M. ....................... PD03Lombardi P. ........................PC92Lombardo J. .......................PC24Lonetti B. ............................ PD08Loosen P. ................................A1-1Lopatin S. .....D3-1, D8-2, PC55Lorenz P. ................ PA38, PC45Lorestani F. .......................... D7-3Lorusso A. .......................... PC50Lorusso G. ................A3-1, PA76Lotters J.C. .......................PC134Lötters J. .................C1-2, PA65, .................................. PC146, PD01Lotty O. .................................. D2-1Loukas C. ..............................D6-2Low H....................................PA35Lu J. ..........................PD47, PD63Lu W. .................................... PC49Ludvigsen E. ...........PA11, B2-4, ................................................. B4-4
Lukic L. ....................................B7-1Lukichev V. .........................PA68Lundy R. ...............................PB01Luo G. .................... PC39, PC127Luo X.................................... PB84Ly M. ....................................... A7-2
MMaaßdorf A. .......................PC28Machida K. .........PC108, PC112, ................................. PC113, PC120Mack C. .................................A3-2Mackenzie D. ........................ PA11MacKenzie S. ....................... D7-3Maeda R. ..............................PD47Maffia M. ................................D5-1Maglie E. ...................A7-1, D10-1Mahgoub A. ....................... PB04Mai T. .....................................PA52Maier T. .................................PC88Maire A. ..................................D9-1Majikes J. ..............................PB21Majstrzyk W. ..................... PC131Makarona E. ............B9-2, D2-4, ........PB66, PB88, PC17, PC140Makrygianni M. ..PC101, PC150Malaquin L. ............ A4-2, D9-3, ..................................................PD12Maletinsky P. .......................PA14Manabe K. ...........................PB37Mandsberg N. ........ B2-4, B4-4Maneesong A. ....................B8-2Manfroni G. ...........................D1-3Mangeat T. ...........................A4-2Manidakis E. .......................PC89Mannelli I. ................ D6-1, PB85Manouras T. ...........A10-1, PD27Manske E. ...PA19, PA64, PA73Mansour A. .........................PC55Mapelli A. ............................. C2-2Marasco I. ............................PC97Marasso L. ...........................PC75Marasso S. .B7-4, D4-2, PC152Marcos G. ............................PA63Marcoux P. ............................D9-1Margariti E. .......................PC150Marie R. .................................. PA11Mariello M. ............................C5-2Marimon J. ...........................B8-3Marinaro G. .........................PD52Marini M. ....................D3-1, D8-2Markevich V. ...................... PC09Markey L. .............................PC29Markowska O. ................... PB48Marson D. .............................D8-2Martens S. ...............A8-3, PA27
98
NEM20
19International Conference on
Auth
ors
Ind
ex
Martiel I. ..............................PD09Martinelli E. ..........................D9-2Martinez C. ....................... C10-4Martínez I. ...........................PC78Martinez-Rivas A. ............PB70, .................................................PC87Martini F. ...............................PC41Martinie S. ...........................PC02Martins S..............................PA62Marumo T. ...........................PA28Marzec M. ............................ B9-4Mastellos D. .......... PB53, PD83Mastronardi V. ......C5-2, PC92, ....................................PC97, PC121 Masu K. ................PC108, PC112, ................................. PC113, PC120Masuda Y. .......................... PC125Matalla M. ............................PC28Mathioulakis D. ..................PB54Matsumae T. ...................... PC151Matsumoto H. .......PA29, PA32Matsumoto Y. ..................... PB77Matsumoto-inoue T. ........PD67Matsushima M. ................ PC58, ....................................PC62, PC65Mattei F. ................................D9-2Matuskova B...................... PA06Matylitskaya V. ..................PD35Maurer J. ................................ B1-2Maurer P. ...............................D3-4Mavrikou S. .........................PD38Maximov I. ............PA04, PA43, ...............................................PC145 Mayama H. ..............PB41, PB76Mayer A. ................ PA33, PA36, .................................................PA38Maziz A. ................................PD12Mazza G. ..............................PD32Mazzucato S. ..................... PA09McEvoy N. ...........................PB20McMillan A. ............PD22, PD82Meananeatra R. .................PB39Mechold S. ............ PA19, PA64, .................................... PA72, PA73Meents A. ............................PA54Megaridis C. .......................PD23Meinen S. ..............................PD13Meinhart C. .......................... D7-3Melamed S. ........................PC101Melenev A. ......................... PD03Mellor C. .................................B7-2Memos G. ............................PA77Mencattini A........................D9-2Meng F. .................................PC39Menguelti K. .........B10-3, PC59Merino S. ..................B8-3, PD37 Merlos A. ............................ PD30
Mertens H. ...........................PA76Mesnilgrente F. ...................PD12Messerschmidt M. ............PA56Metz D. ................................ PC118Meyers T. ............................. PC10Miakonkikh A. ......PA66, PA67, .................................... PA68, PC16 Michelakis K. .......................C3-3Mielnik M. ..........................PC149Mihailovic I. ..........................C8-2Mihi A. ..................................A10-3Milionis A. ............................. B2-3Min S. ................................... PC34Minami H. ............................ PB77Mincuzzi G. ..........................B3-4Mineta T. ............... C2-5, PC125, ............................................... PC126Minne S. ................................ D7-3Miranda A............................ PB72Mirmohammadi S. ............. B2-2Mishra H. .... B4-3, PB45, PB47Misiakos K. .............D2-4, PD40Mitropoulos C. ...................PD26Mitsakakis K. ......................PD55Mitteramskogler T. ..........D4-4, ...... PA39, PA40, PC78, PC122, .................................... PD14, PD28 Mitterer C. ............................PB51Miyake K. ...............................B1-5Miyashita Y. ..........................PA41Mizubayashi W. .................PC07Mizukami Y. .........................PD56Modreanu M. ...................... C8-4Moe S. .................................PC149Mogi K. ..................................D6-3Mohammadi M. ................ PD54Mohammed O. ...................PC55Moharana A. .........B5-4, PA40, ................................................ PA44Mokarian P. .........................PB38Mokarian-Tabari P. ...........PB20Mol J. .......................................B1-4Monroy E. ............................PC53Montis C. ............................. PD08Monville C. ...........................PD71Moon J................................. PC04Moon Y. .................................PC01Moreau J. ............... PC30, PC32Moreira E. .............................C4-2Moreno F. ............................PD37Moretti M. ......A5-3, D3-1, D8-2Mori T. ..................... PA02, PC07Morizur L. .............................PD71Morris D. ..............................PC32Morris M. ... A6-4, PA48, PB01, ..................................PB20, PC132
Mortelmans T. .....................A5-5Morton K. ...............................B7-1Mosgaard M..........................D1-3Moustakas K.......................PA07Moya A. .............................. C10-4Mpatzaka T. ...........PA52, PB57Mruczkiewicz M. ................PA18Muehlberger M. .. PA39, PA44, ....................... PC78, PD14, PD28Mueller C. ............................PA46Muendlein M. ..................... PC48Mühlberger M. ......B5-4, D4-4, ..................................PA40, PC122Mukherjee S. .......................PD15Müller B. ................................B6-2Müller C. ...............................PD73Müller L. ...............................PD55Müllertz A. ................D1-3, D4-2Mun J. ..................................A10-4Muñoz-Aguirre N. .............PB70Murayama M. .................... PC09Murillo G. ........................... C10-4
NNadzeyka A. ........................A9-2Nagai M. .................PD16, PD56, .................................... PD72, PD77Nagoshi T. .............PB60, PC113Nakajima K. ........................PA42Nakamoto T. ........................PD31Nakamura R. .......................PC13Nakao A. ...............................PC13Nardi A. ................................. C7-3Nasr Esfahani M. ................PB12Natalini R. .............................D9-2Natsuhara D. ......................PD56Natsume T. ...........................D6-3Natta L. ................................PC92Naumov V. ......................... PD03Nauruzbayeva J. .. B4-3, PB45Naznin S. ................................B7-2Nemciauskas K. ................PD53Nestler J. ............................. D6-4Neumann E. ........................PD78Neves N.................................B8-3Ngom S. .............................. PD02Nguyen N. ...........................PC24Nguyen T. ...........................B10-3Nguyen V. .............................A2-2Nicosia C. ............. PC75, PC152Nielsen L. ..................D1-3, PB28 Niess J. .................................. B3-2Nightingale A. ..................... D2-1Nika A. .....................A10-1, PD27Nikas G. .................................PC14Nilsen M. ...............................PA01
99
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceA
uth
ors
’ Ind
exNinou A. ...............................PB88Nioras D. .................PB52, PB55Niro G. ..................................PC97Nishida H. .............................PB13Nishidate Y. .......................PC145Nishikawa H. ...................... PB46Nishino T. ................PB41, PB76, ...................................PB77, PC155Nishitani T. ...........................A7-4Nissinen V. ..........................PA54Nitta K. ...............................PC108Nojiri Y. ................................ PB46Nõlvak A. .............................PC82Noma H. ...............................PC58Normand P. .........................PA23Nouvertné F. .......................A9-2Novais A. ........................... PC135Nowak E. .............................PC02Ntouskas S. .........................PD83Nuck M. .................................A4-3Nurk G. .................................PC82
OObitani K. ............................PC67Offenhaeusser A. .............PD78Ogasawara T. ......................PC13Oguchi H. ........................... PC86Oh H. ......................A10-4, PA05Oh J. ..........C4-3, C5-4, PC144, .......................PA70, PB57, PC12Ohashi T. ..............................PA76Ohkouchi T. .........................PC13Oikonomidis N. ...............PC150Oikonomou P. .......PC56, PD27Oka H. ...................................PC07Okabe T..... PA29, PA30, PA32Okada I. .................................PB10Okamoto S. .........................PD62Okamoto T. .........................PB65Okulova N. .......................... B4-4Onishi Y. ................................A3-3Öper M. ................................PB67Ordonezloza J. ...................A5-3Ören S. ..................................C5-5Orhan E. ............................. PC128Orłowska K. ........ PC131, PC136Osmani B. .............................B6-2Osmond J. ..........................A10-3Ota M. ...................................PC27Otaduy D. ............................PD37Otero N. ...............................PD59Oura M. ..................................PC13Owen J. ..... A6-3, A9-4, PA49, Oya T. ....... PC03, PC91, PC100Ozerin Y. ............................. PB08
PPadeste C. ..............A5-5, PD09Padmanabhan S. .................D1-1Paivana G. ...........................PD38Pajor-świerzy A. ................PB16Pakendorf T. .......................PA54Pallandre A. ....................... PD02Paloura E. .............................B9-2Pan J. ........ PB32, PC39, PC127Pan L. .....................................PC31Pan R. ...................... PB30, PB35Panagiotidis E. ......PB78, PB81Panaitov G. .........................PD78Pandey G. .............................PD16Pang S. ................................D10-3Panos S. ...............................PA78Papachristopoulou K. ..... B3-1, ................................... PC50, PC52Papademetriou A...............B3-1Papadimitropoulos G. ....PB22Papageorgiou G. ..............B9-2, ......................PA23, PA52, PA75, ....................................PB57, PB66Papageorgiou G.P. ............PC17Papageorgiou H. ................A3-1Papaioannou D. ................PC52Papaioannou G. .............. PC139Papanikolaou N. ...PB78, PB81Papavieros G. ..........A3-1, PA74Papenheim M. ....................PA38Pardon G. .............................D3-3Paris O. ..................................PB51Park B. ..................................PA05Park C. ................................PC103Park H. ...................... PB11, PC54Park J. .... PC143, PC144, PD45Park K. ................................. PD45Parmeggiani M. ..................B7-4Parrotta E. .............................D3-1Partel S. ................................PD35Paszkiewicz B. ...................PA22Paszkiewicz R. ...................PA22Patsalas P. ...........................PA78Patsiouras L. .........C4-4, PC63Pattamang P. .........B8-2, PB39Paul P. .....................................A9-1Paulsen M. .........................PC154Pawłowski R. .......................PB16Peaker A. ............................ PC09Pedersen D. .......................... PA11Pedersen N. .......................... PA11Pedrini J. ...............................C9-2Peixoto R. .............................. A7-1Pelekanos N. ........ PC53, PC89Pello J. ...................... D6-1, PB85
Peña G. .................................PA54Pennathur S......................... D7-3Perdigones F. ....... PD57, PD64Perelló-Roig R. ...................PC14Perez-Murano F. .................C8-1Permyakova O. ...................PC16Perrone A. .......................... PC50Persico A. ............................PC02Peter D. ..................................A9-1Petersen C. ...........................A4-1Petersen R. . D1-3, D4-2, PA34 Petit-Etienne C. .................PA45Petkov N. ............................... D2-1Petrillo A. .............................PC24Petrou P. ...............PB44, PD26, ....................... PD40, PD83 PD61Petrovszki D. ..................... PD44Petruzzelli V. ......................PC38Pettinari G. ............ PC35 , PC41Peyrade D. .............. D9-1, PD39Pezard J. ............................. PC60Pezeshki H. ...........................B7-2Piasecki T. ............ PB87, PC131, ...............................................PC136 Picard E.................... D9-1, PD39 Picone A. .............................PB07Pilecky M. ............................PD32Pinakidou F. .........................B9-2Piredda G. .......................... PC48Piro L. ....................................PC97Pirri C. ......... B7-4, D8-2, PC152 Pirri F. ........................D4-2, PC75Pisanello F. .............. A5-4, A7-1, ............C5-2, C6-4, D5-1, D10-1Pisanello M. ............. A5-4, A7-1, .................................... C6-4, D10-1Pisano F. .................. A5-4, A7-1, .................................... C6-4, D10-1Pitingolo G. ..............D1-2, PD19, ................................................ PD68Plank W. .............................. PC48Plaza J. ......................C4-1, PD73Polaki S. ................................PC61Polesel J.................B10-3, PC59Polimeni A. .........................PC35Politi C. ................................ PA09Polychronopoulou K. .......PB51Posnicek T. ..........................PD32Poulikakos D. ...................... B2-3Pourdavoud N. ..................PA36Pourteau M. ......................... B3-2Prabakar K. ..........................PC61Prajapati A. .........................PA62Prehal C. ...............................PB51Price R. ................................PC101Pricl S. ....................................D8-2
100
NEM20
19International Conference on
Auth
ors
Ind
ex
Prifti V. ................................. PC84Primavera R. ..........................D1-1Prochukhan N. ................. PC132 Pruchnik B. ........................ PC131Prucnal S. ................PB26, PC15Pruitt B. .................................D3-3Pruneri V. ................. D6-1, PB85Psycharis V. .........................B9-2Psyrris A. .............................PC70Pu D. .................................... PC123Purr F. ...................................PD33Pyka N. ..................................A9-3
QQiu Z. .....................................PC19Qualtieri A. ............ C5-2, C6-4, .........PC37, PC38, PC92, PC97, ................................................ PC121 Quero J. ................. PD57, PD64Queste S. ........................... PC138
RRademaker G. ..........B3-2, C8-1Rademakers T. ....................D3-4Rainer A. ............................... C2-3Ramírez Salinas M. ...........PC87Ramiro J. ..............................B8-3Ramos I. ............................... D6-4Randall J. ....A6-3, A9-4, PA49Rangelow I. ............ A2-4, PA19, ...........PA64, PA72, PA73, PB12Raptis I. .....D2-4, PD40, PA52, ......................PB57, PB78, PC56, ....................................PD26, PD27 Rastjoo S. ..............................C1-3Raveh A. .................... A7-2, B9-1Rebholz C. ...........................PB51Rebohle L. ...........................PB26Reid G. ..................................PB68Reina M. ...............................PD73Reker J. ................................ PC10Ren J. .....................PC64, PC123Ren T. ....................................PC95Ren Z. ...................... PA61, PC64Retozala A. .............B8-3, PD37Reum A. .................. PA72, PA73Reuter C......PA19, PA64, PA72 Revet G. ................................D5-2Reynolds J. ...........PC08, PC20Rezaei B. ..............................PB32Rezvanov A. .......................PA66Rhallabi A. ...........................PA63Ricciardi C............................D8-2Richter A. .......................... PC147Richter K. ..............................C2-4Richter T. ..............................A9-2
Riedl T. ..................................PA36Riesco R. ...............................D9-3Riley C. .................................PB58Rizzi F. ........... D1-1, C5-2, PC97, ................................................ PC121 Rizzo A. ...................... A5-4, D1-1Robert L. ........................... PC138Roberts B. ............................B5-3Rocha L. ................................C4-2Rodchanarowan A. ...........B8-2Roeffaers M. .......................PD22Rofaani E. ................. D1-2, PD74Rogozhin A. ........... PA67, PA71, ..................................................PC16 Rola K. .....................PA25, PA59Roman C. .............................PB87Romano L..............................A1-5Romano S. ........... PC75, PC152Römer F. ............................... C7-2Romero P. ............................PD59Rommel M. ........................... B3-2Rond J. .................................PA36Ronda A. ............................. B10-2Rosales Hernández A. ....PB70Rothbauer M. .................... PA44Rougé P. ...............................PD39Roux C. ................................ PD08Rowlinson B. ..................... PC08Rüb M. ................................ PC142Rubini S. ...............................PC35Rudenko K. ...........PA66, PA67, .................................... PA68, PC16Rudorf R. ............................PC110Rumpler M. ......................... D6-4Rusli N. ................................ PD49Rutigliani V. ..........................A3-1Rutkowski J. ...................... PB48Ruttloff S. ............................ D6-4Ryazanov V. ........................PA23Ryckaert J. ............................A2-1Rydzek G. ............................PB38Rysz J. .................................. B9-4Ryu H. ......... PC21, PC42, PC43Ryu J. ....................................PC76Ryu S. ....................................PA70
SSabatini B. ... A5-4, A7-1, D10-1Saccone M. ...........................A4-1Sadeghi P. .......................... PB09Sadewasser S. ......PC77, PC80 Sadílek J. .............................PB69Saegusa S. ...........................PC13Saiki T. ...................................PC13Saji A. ....................................PC99Saka N. .................................PD56
Sakata R. ..............................PA41Sakellaropoulos D. ............PC14Sakellis E. ........................... PD46Sakurai I. ...............................PB10Salama K. ............................PC56Salapatas A. ........................D2-4Salaseviciene A. ..PD50, PD53Salleras M. ...........................PC68Salvalaglio M. .................... B10-2Šamořil T. ............................ PA20Samukawa S. ......................PC07San Paulo A. .......................PD73Sanchez A. ............................C4-1Sanders R. .......................... PB40Sanopoulou M. ...PC56, PC66, .................................................PD27Santamaria G. ......................D3-1Santini R. .................A6-3, A9-4Santra T. ...............................PD77Şar H. ....................................PB67Sarathy M. ............................A5-3Sarkiris P. .............................PB54Sato D. ...................................A7-4Sato K. ....................PA30, PC09Sato T. ................................. PC125Sawai S. ................................PD77Scalia A. ...............................PC75Scalora M. ...........................PC37Scaltrito L. ............ PC75, PC152Scepka T. ..............................PA18Schantz M. ...........................A6-3Scheer H. .............. PA33, PA36, .................................................PA38Schiavoni G. ........................D9-2Schift H. ..........A1-5, A6-2, B1-1, ..................................................B6-2 Schirmer M. ............. A1-1, A9-3, ........... PA06, PA15,PA16, PA52, .................................................PA55 Schlachter F. ......................PB38Schleunitz A. ......... A4-3, PA56Schmid S. .............. PB09, PC47Schmidt G. ...............A9-3, PA15 Schmidt H. ..........................PC45Schmidt M. .......................... C8-4Schneider S. .......................PD36Schofield S. ..........................A1-3Scholl A. .................................A4-1Schotter J. ............D4-4, PA39, .................................................PD28Schranzhofer L...................B5-3Schrittwieser S. ...D4-4, PA39, .................................................PD28Schuller P............................ PA44Schut T. ...................C1-2, PC146Schut T.V.P. .......................PC134Schwartzberg A. .. A2-4, C9-2
101
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceA
uth
ors
’ Ind
exSchwarzkopf A. ..................B9-1Schwerter M. ..................... PC115Sciurti E. .................................D1-1Scordo G. ............. PC75, PC152 Scotti G. ................................C3-2Secme A. ..............................D3-2Sedaghat Pisheh H. ..........D3-2Segkos A. ........................... PD46Segura J. ...............................PC14Seitl L. ....................................B3-3Sekiguchi A. ..........PB41, PB76, ....................................PB77, PC86Selkirk A. .............................PB20Seok O. ...................PC04, PC05Seong W. ............... PC137, PD41Sergio S. ................................D5-1Shahbeigi Roudposhti S. PB12Shalev G. ..............................PA62Shamkhalichenar H. .......D10-2Shapoval S. .......................PC145Sharma C. ............................. B2-3Sharma M. ...........................PC35Shawrav M. .........................PC47Sheen G. ............................. PC06Shi J. ...............D1-2, PD19, PD74Shi Y. ......................................PC31Shi Z. .......................................A1-5Shibata T................PD16, PD56, .................................... PD72, PD77 Shields B. ..............................PA14Shikazono N. ..................... PA30Shikida M. ............. PC58, PC62, .................................................PC65 Shimizu T. ...............C2-5, PB65, ............................................... PC126 Shimohira C. .......................PC65Shin D. ..................................PB57Shin H. ..................... PA53, PD10Shingubara S. ....................PB65Shioda A. .............................PB02Shirai M. ...................PA41, PA42Shiraishi K. ......................... PC09Shiratori S. ..........................PB37Shiu M. .....................................B7-1Shon J. ...................................PC01Shoshi A. ...D4-4, PA39, PD28Shvets G. ............................ PD63Si S. .......................... PA56, PB42Sidorov F. .............................PA71Sierakowski A. ... PC131, PC136Šikola T. .................. PA20, PB69Sileo L. ...........A5-4, A7-1, C6-4Silhanek A. ..........................PC24Silvestre C. ......................... PA60Simon A. ...............................D5-2Simon C. ............................. PB50
Singh M. ...............................PD33Sinuraya W. ....................... PC08Sinzinger S. .........................PA56Siopa D. ................................PC77Skaltsounis P. .....................PD65Skorenski M. .......................PA25Skotadis E. .............C4-4, PC63Smiglak M. .............PA25, PA59Smolka M. ............................ D6-4Smyrnakis A. ...........B4-2, D6-2Smyrnioti E. ........................PC52Snitka V. .................PD50, PD53Socha R. ................................PB16Sohn S. .................................. PB17Sojic N. ..................................PD12Solak H. ..................................A1-5Soler M. ................................. D2-2Soltys J. ................................PA18Sommer R. ..........................PC02Son J. ....................................PA53Sone M. .............PB84, PC99, P ......C108, PC112 , PC113,PC120, ..................................................PD31Song J. ....... PC21, PC42, PC43Song S. ..................................PD41Sonnleitner M. ................... D6-4Sotomayor Torres C. ........B8-3Souleille S.............................A4-2Sousa P. ................................PD59Spagnolo B. ............ A5-4, A7-1, ......................................D5-1, D10-1Spandonidis C. ................PC150Sparreboom W. ................ PD01Spende H.................. C7-2, C9-3 Sripumkhai W. .......B8-2, PB39Sriram K. ................................D8-1Staabs J. ..............................PA36Stadlober B. ....................... D6-4Stahlberg H. ........................A5-5Stampanoni M. ....................A1-5Stanca S. ..............................PC45Starke G. ................................A5-1Stassi S. .................................D8-2Stathopoulos C. ................PC52Staufer U. ..............................D7-2Stavrinidis A. ........PA45, PB23, ..................................................PC18 Stavrinidis G. .........C3-3, PC53, ............................................... PC139 Steele A. ................................B9-1Steffen M. ............................PA55Steglich T. ............................PA55Stehr M. ................................PD33Stein M. ............................... PC118Steinbach T. ...................... PC142Steriotis T. ............................PB51
Stett A. ................................PC110Sthijns M. ..............................D3-4Stobbe S. ................ PA21, PC46Stock T. ..................................A1-3Stokeley K. ...........................PA61Stollenwerk J. .......................A1-1Stomeo T. .. C6-4, PC37, PC38Strehle S. ..............................PA01Strempel K. ............. C7-2, C9-3, .................................................. PA17Stricher R. ..........................B10-4Stroj S. ................................. PC48Suárez T. ................................C4-1Súchil Pérez O. ..................PC87Sudiyarmanto .................. PC151Sugawara H. ........................PA31Sun A. ....................................D2-5Sun K.................................... PC20Sung C. ..................................PC21Suntalelat S. ...........B8-2, PB39Supadech J. ........................PB39Supreeti S. ...........................PA19Suzuki K. ............................. PC113Švarc V. ................................PB69Svetovoy V. ........................ PD03Swett J. ..................................B1-4Swift M. .................................D3-3Syed A. .................................PC56Szczęch M. ..........................PD42Szczepanowicz K. ............PB16, ................................... PB50, PD42Szornel J. ..............................A2-4Szpecht A. ..........................PA59Szymanowska P. ............. PC136
TTabata O. ........................... PC133Taboryski R............ B2-4, B4-4, ..................................................B6-3Tada K. ..................................PA41Takada N. .............................D6-3Takagi H. ............................. PC151Takama N. ........................... B6-4Takase K. .............................PB65Takeuchi M. .........................PD67Takigawa R. ......................PC156Takishita K. .........................PD56Tamm A. ..............................PC82Tampaxis C. .........................PB51Tan S. ........................A7-2, PC89Tanagi K. ...............................PD16Tanaka K. .................C1-4, PD56Tanaka M. .......................... PC125Tang H. ...............................PC108Tanigawa H. ...........PB41, PB76, ...................................PB77, PC155
102
NEM20
19International Conference on
Auth
ors
Ind
ex
Taniguchi J. ......... PA28, PA29, ....................... PA30, PA31, PA32Taniguchi K. ......... PC58, PC62, .................................................PC65 Tanner M. ............................ PD44Tantussi F. .............................C9-1Tao Z. ......................................A2-1Tarancón A. ........................PC68Tas N. .......................PA10, PB40Tatarintsev A. ....................PA67Tätte T. .................................PC82Taus P. ...................................PC47Taylor H. ....................B8-1, PB58Telecka A. ................ B2-4, B4-4Terborg R. ............... D6-1, PB85Tessarollo F. ...................... PC122Testa P. ..................................C4-5Tez S. ....................................C10-3Thanner C. ..............A8-3, PA27Theodorakos I. .................PC101Theodosiou A. ...................PA78Thesen M. ...............A4-3, D6-4, .................................................PA56Thibault C. ...........................A4-2Thomée E. ...........................PD82Thomopoulos G. ..PB52, PB55Thongsook O. ........B8-2, PB39 Tian l.................................... PC123Tiggelaar R. .........................PA10Tiron R. .......................B3-2, C8-1Tittl A. .................................... D2-2Tokuoka K. ..........................PC99Toma A. .................... C9-1, PC38Toma F. ..................................C9-2Tomal W. ............................. PB50Tong J. ...................................C6-2Tong Y. .................................PD29Tonooka Y. ..........................PC27Töpper T. ..............................B6-2Tören P. ................................ D6-4Torre B. ........ D3-1, D8-2, PC26, ...................................PC44, PD52Tourlouki K. ....................... PA08Traksele L. .............PD50, PD53Treiblmayr D. .......................A8-3Truckenmüller R. ...............D3-4Tsagaraki K. ........... C3-3, C8-4, ........................PB23, PB25, PC18 Tsakiridis O. ........................PD38Tsamis C. ............PC84, PC105, ................................................ PD46 Tsanev T. ..............................PC74Tsaousis V. ..........................PD26Tse C. .....................................PB31Tselekidou D. .....................PA78Tseng L. .......................A1-3, A1-4
Tseng Y. ................................ C9-4Tserepi A. ...B4-2, D6-2, PB53, .........PC63, PD18, PD61, PD64, ......................PD65, PD79, PD83 Tsigkourakos M. ................ PB73Tsougeni K. ..........................D6-2Tsoukalas D. ......... C4-4, PB73, .....................PC14, PC63, PC140Tsounidi D. ..........................PD26Tsouti V. .................PC66, PD69 Tsoutis K. ............................ PD69Tsuchiya T. ........... PC67, PC133Tsuji K. ...................................C2-5Tu Z. ......................................D10-4Tuji K. .................................. PC126Tukkiniemi K........................D2-4Turchetti M. ......................... C7-3Turover D. ............................PA63Tzaneva B. ...........................PC74Tzianou M. ..............PB52, PB55
UUchida H. .............................PB76Uchida K. .............................PD72Uddin R. .............................. PD54Uggowitzer M. .................... B2-3Uhrmann T. ........................ PA06Ukita Y. .................................PD62Ullah A. ................................PD04Ulliac G. .............................. PC138Uraoka Y. .............................PC25Uslu D. ...................................D3-2Utsumi J. ............................PC156Utsumi Y. ....PB10, PC13, PD67Uvarov I. .............................. PD03
VVainos N. ....B3-1, PA07, PA09, .......................PC50, PC51, PC52 Valamontes E.....................PC56Valdez-Pérez D. ................PB70Valkai S. .............................. PD44Vamvakaki M. ........A10-1, PD27Vamvakas V. .......................PA52Van Blitterswijk C. ............D3-4Van Boekel W. .................. PC80Van Deelen J. .................... PC80Van Der Velden G. .............D7-2Van Dijken S. ........................A4-1Van Goozen Y. ....................A5-2Van Houselt A. ...................PA10Van Putten J. ..................... PD01Van Roey F. ..........................A3-1Van Tol R. .............................A5-2Vanetsev A. ........................PC82Vargkas E. ...........................PC63
Vaut L. ..................................PB28Veigang-Radulescu V. .....C8-3Veilleux G. ..............................B7-1Vekinis A..............................PB86Veres T.....................................B7-1Verna A. ................................B7-4Vernoux C. ..........................PC29Vertchenko L. ....................PB79Vetrova I. ..............................PA18Viasnoff V. ...........................PA35Vidal S. .................................PD59Videkov V. ...........................PC74Vidor F. ................................. PC10Vieira De Castro J. ............B8-3Vigne A. ................................PD21Vila-Comamala J. ...............A1-5Vincentini I. ........................ PD49Vishnevskiy A. ...................PA66Vogler M. ..............................A4-3Voigt A. ...................A4-3, B10-2Voigt P. ..................................PA16Volkmann N. ........................D3-3Von Borany J. ..................... B3-2Von der Heide C. ............. PC94Vona G................................... C2-3Voss M. .................................PB28Vourdas N. ............PB52, PB55, ..................................................PD18Vrettou F. ........................... PB44
WWaag A. ........ C7-2, C9-3, PA17Wagner L. ...........................PD32Walger T. ..............................C6-3Wan D. ...................................D2-5Wang C. ................C8-3, PC107, ...................... PC114, PD15, PD66Wang L. .......D1-2, PD19, PD68, .................................................PD74 Wang P. ................. PC39, PC127Wang Q. ................................PC31Wang S................................ PC49Wang T. ....................C5-1, PC116Wang W. ..............................PC57Wang X. ...............................PB29Wang Y. ........B7-4, C6-2, PC72Wang Y. ......C6-2, PB03, PC119 Wang Z. .................. PC31, PC56Wanzenboeck H. ............ PA44, .................................................PC47Warisawa S. ..............C1-4, PB13Warszyński P. ....... PB16, PB50, .................................................PD42Wasisto H. .... C7-2, C9-3, PA17Watanabe H. ..................... PC112Watanabe T. .........................A1-2
103
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceA
uth
ors
’ Ind
exWeaver J. ........................... PC117Weber A. ..............................C4-5Weeber J. ............................PC29Wehmann H. ....................... C7-2Wei X. .....PC64, PC123, PC124Weidenfeller L. .... PA19, PA64, .................................................PA73Weigel W. ............................ D6-4Weinhart M. ....................... PA06Weis W. .................................D3-3Wennberg A. .....................PC78Wenzel H. ............................PC28Werder J. ..............................A6-2Wetzel A. .................B6-3, PB79Weyers M. ...........................PC28Wiegerink R. ..........C1-2, PA65, ..................PC134, PC146, PD01Wiesbauer H. ......................A8-3Witters L. .............................PA76Witzigmann B..................... C7-2Wolf J. ...................................A4-3Wong H. ...............................PA35Woo C. ................................ PC96Woo K...................................C10-1Wu D. .....................................PC31Wu L. ..................................... B6-4Wu S. ......................................PB18Wu T. .....................................PD29Wu X. .......................C5-4, PC95
XXiao M. ................................PC107Xie S. ..............A1-5, A6-2, A7-5, .................................................. PA12Xie Y. ..........C7-4, A10-2, PA69, ................................... PB26, PD58Xiong H. ................................PC31Xu J. ......................... C2-5, PC126 Xu Y. .....................................D10-3Xuan Z. ................................ PC49
YYachi M. ................................PC67Yadav P. ................................PB01Yamada K. ............................PC13Yamaguchi A. ...... PB10, PC13, .................................................PD67Yamamoto S. .....................PC25Yamane D. .........PC108, PC112 , ................................. PC113, PC120Yamashita R. .......................A3-3Yamata A.............................PD74Yamazaki A. .......................PA02Yamazaki Y. ...................... PC133Yanagimachi S. ................ PC151Yang F. .................................PC116
Yang H. ................... PA70, PB34Yang J. .....................PB15, PC93, .................................PC103, PC116Yang S. ..................................B7-4Yang W. ................. PC39, PC127Yang Y. ....................C7-3, PB03, ...................................PC95, PC119Yang Z. ..................................D2-3Yanik C. ............... PC128, PC129 Yano T. ...................................PA31Yasuda M. ................PA41, PA42Ye H. ......................................PB59Yeb Z. ................................... PD66Yeh J. ......................................D8-1Yeo N. .................................. PB05Yescas Gonzalez T. ...........D9-1, .................................................PD39Yesilkoy F. .............................D6-1Yi M. .......................................C10-1Yoo D. .................................. PC54Yoon G................................PC143Yoon H. ..................................PC71Yoon S. ...... PC76, PC137, PD41You J. ................................... PC54Yu F. ........................................ C7-2Yu H. ....................... PC39, PC127Yu K. ..................................... PC54Yu M. ....................................A10-2Yu R. ........................................ D2-1Yuan Q. ...............................PC116Yuan Z. .................. D10-4, PD29Yuksel M. ............ PC128, PC129 Yun S. ....................................PB43Yun T. ................................... PC96
ZZaccagnini P. ......................PC75Zacharaki E. .......................PA07Zacharatos F. ....................PC101Zaheer M. .............................PB71Zaidi S. ......................C9-3, PA17Zajac A. ...................PA25, PA59Zajadacz J. .........................PA38Zandvliet H. .........................PA10Zekentes K. .........................PA45Zelsmann M. .........................D9-1Zemlyakov V. ...................PC145Zenger T. ............................ PA06Zengerle R. .........................PD55Zeniou A. ... PB24, PD18, PD79 Zergioti I. ............PC101, PC150, ................................................PD60Zhai K. ..................................PC57Zhang H. ............................ PC124Zhang L. .......A2-1, A7-5, PB62, .......................PB63, PC81, PD47
Zhang P. .........A5-3, D3-1, D8-2Zhang R. ...C2-4, PB59, PC147 Zhang S. ................................A7-5Zhang X. ..............................PC26Zhang Y. ................PB63, PB64, ..................................PC49, PC127Zhao D. ..................................PA14Zhao H. ................................ PC64Zhao M. ......PC57, PC64, PC111Zhao X. ................................ PC09Zhao Y. ..................PA65, PC134Zhi Y. .................................... PC49Zhikharev E. ........................PA71Zhong S. .... PB62, PB63, PC81Zhou J. .....PB62, PB63, PB64, ..................................................PC81Zhou X. .................. PC39, PC127Zhou Y. ................................ PC80Zhu J. .A7-5, C5-1, PA12, PB29Zhu M. ..........A10-1, C7-4, PA69Zhu X. ..................................... PA11Zhu Z.................................... PC34Zhuang C. .............................PB19Zhuangzhuang W. ............ PA12Zieger G. ..............................PC45Zimmer K. ...........................PA38Zisis G. ......PA52, PA-57, PB78, ..................................................PB81 Zong L. ..................................C7-4Zuo K. ...................................PD29Zwolak M. .............................PB21
104
NEM20
19International Conference on
Note
s
105
Micro & Nano EngineeringInternational Conference on 23-26 2019September
Rhodes, GreeceN
ote
s
106