7
DESIGN OF DIGITAL HEARING AIDS USING RECONFIGURABLE FILTERS Purushothaman.V #1 Kalaivani.S #2 Assistant Professor #1 , Junior Research Fellow #2 Department of Electronics and Communication Engineering, Vel tech (owned by RS trust), Chennai. purushothaman@veltechengg.com #1 ,[email protected] #2 Abstract –To greatly reduce the computation complexity, several time- domain multirate signal processing techniques, such as the up- and down- sampling rate conversions and the interpolated finite impulse response (IFIR) filters, were investigated. However, it is well known that using fast Fourier transform (FFT) to perform the linear convolution can dramatically reduce the computational complexity. Taking both advantages, in this brief we investigated the FFT- based multirate signal-processing technique and explored their efficient architecture. To demonstrate the success of the proposed architecture, we implemented a real-valued FFT-based 10.7-ms, 18-band quasi- ANSI 1/6- octave filter bank using TSMC 90-nm CMOS high-VT technology. We found that, for each input sample, the proposed FFT-based quasi-ANSI 1/6- octave filter bank used approximately 77% fewer multiplications than the previous time-domain design. The proposed FFT-based quasi-ANSI filter bank was operated at 13 MHz to process the 24-KHz audio in real time, and it consumed only 14 µW (@0.9V) of dynamic power.We proposed this method in different tools like Cadence, Xilinx, and matlab. Keywords - Filter bank, hearing aid, low group delay. I. INTRODUCTION Human hearing loss is one of the most common deficiency in the world. Hearing aids devices using digital filters and, where amplifiers are used to overcome this problem. [1], [2]. Hearing aids have become successful at maintaining some hearing abilities for hearing impaired people. Even though, solutions are available, patients still have problem in understanding the speech in presence of noise due analog hearing aids. According to one survey, most developed countries were suffered from considerable Hearing loss that strongly affects their speech communication skills and which restricts them from leading a normal life. These hearing impaired patients have an option of using modern hearing aids devices, but due to analog hearing aids many patients avoid using so, because of irritating and unpleasant sound noise they encounter in their everyday life. Hearing-aid device mainly amplifies the selected sound and transfers this amplified signal to the ear [3]. Even if the objective of these aids devices may be to simply make sound intelligible, it has nonlinear gain. Digital hearing aids is now widely used techniques in most modern devices as it assist in easy fitting

  · Web viewHearing loss that strongly affects their speech communication skills and which restricts them from leading a normal life. These hearing impaired patients have an option

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

Page 1:   · Web viewHearing loss that strongly affects their speech communication skills and which restricts them from leading a normal life. These hearing impaired patients have an option

DESIGN OF DIGITAL HEARING AIDS USING RECONFIGURABLE

FILTERS

Purushothaman.V #1 Kalaivani.S#2

Assistant Professor#1, Junior Research Fellow#2

Department of Electronics and Communication Engineering,Vel tech (owned by RS trust), Chennai.

[email protected]#1,[email protected]#2

Abstract –To greatly reduce the computation complexity, several time-domain multirate signal processing techniques, such as the up- and down-sampling rate conversions and the interpolated finite impulse response (IFIR) filters, were investigated. However, it is well known that using fast Fourier transform (FFT) to perform the linear convolution can dramatically reduce the computational complexity. Taking both advantages, in this brief we investigated the FFT-based multirate signal-processing technique and explored their efficient architecture. To demonstrate the success of the proposed architecture, we implemented a real-valued FFT-based 10.7-ms, 18-band quasi- ANSI 1/6-octave filter bank using TSMC 90-nm CMOS high-VT technology. We found that, for each input sample, the proposed FFT-based quasi-ANSI 1/6-octave filter bank used approximately 77% fewer multiplications than the previous time-domain design. The proposed FFT-based quasi-ANSI filter bank was operated at 13 MHz to process the 24-KHz audio in real time, and it consumed only 14 µW (@0.9V) of dynamic power.We proposed this method in different tools like Cadence, Xilinx, and matlab.

Keywords - Filter bank, hearing aid, low group delay.

I. INTRODUCTION

Human hearing loss is one of the most common deficiency in the world. Hearing aids devices using digital filters and, where amplifiers are used to overcome this problem. [1], [2]. Hearing aids have become successful at maintaining some hearing abilities for hearing impaired people. Even though, solutions are available, patients still have problem in understanding the speech in presence of noise due analog hearing aids. According to one survey, most developed countries were suffered from considerableHearing loss that strongly affects their speech communication skills and which restricts them from leading a normal life. These hearing impaired patients have an option of using modern hearing aids devices, but due to analog hearing aids many patients avoid using so, because of irritating and unpleasant sound noise they encounter in their everyday life. Hearing-aid device mainly amplifies the selected

sound and transfers this amplified signal to the ear [3]. Even if the objective of these aids devices may be to simply make sound intelligible, it has nonlinear gain.Digital hearing aids is now widely used techniques in most modern devices as it assist in easy fitting of the hearing aid characteristics to each patient. Hearing aid manufacturers have tried to minimize background sounds with directional microphones, adaptable digital noise reduction, and use of binaural hearing aids to improve localization. Many of these techniques are used in modern digital hearing aids, but, unfortunately, only some patients are satisfied with the performance of their hearing aids in noisy situations. One of the reason for this dissatisfaction may be because its algorithm may not decide what information is important and which noise is to be abandoned. Research has been done for the design of digital filterbanks for the selective amplification. All the hearing aid devices use filterbanks with fixed sub-bands. Fixed subbands are not enough flexible for sound decomposition according to the characteristics of different types of hearing loss. We propose two methods:1. Filter bank with adjustable sub-bands in FIR filter bank, all the sub-bands were first generated and later some of them were selected according to the requirement and rejecting unwanted subbands. So we propose flexible filter banks, which provides multiple band decomposition with less complexity and flexible by using interpolation and decimation techniques.2. ANSI S1.11 1/3 Octave Filter bank is popular in acoustic applications due to well matching the frequency characteristics of human ears. High computation complexity limits its usage.

II. ANSI S1.11 SPECIFICATION

The ANSI S1.11 standard of 1/6-octave bands covering the frequency range of 0–20 kHz. Each 1/6-octave band is specified by its midband frequency (or central frequency) and bandwidth.

Page 2:   · Web viewHearing loss that strongly affects their speech communication skills and which restricts them from leading a normal life. These hearing impaired patients have an option

A. MULTIRATE IFIR FILTER DESIGN

In most applications multirate systems are used to improve the performance, for increased computational efficiency. The two basic operations in a multirate system are decreasing and increasing the sampling rate of a signal. If, after A/D conversion, the signal of interest can be found in a small frequency band (typically, lowpass or bandpass), then it is reasonable to filter with a lowpass to reduce the sampling rate.

A narrow filter followed by a downsampler is usually referred to as a decimator. We can reduce the sampling rate up to the limit called the “Nyquistrate,” which says that the sampling rate must be higher than the bandwidth of the signal, in order to avoid aliasing. Aliasing is irreparable, and should be avoided at all cost. For a bandpass signal, the frequency band of interest must be within an integer band. Auditory compensation is the main function of hearing aids architecture, which performs frequency shaping to compensate the hearing loss. A filter bank decomposes the input signal into different frequency bands so that the gains can be applied to each band. A compressor then compresses dynamic ranges of the compensated signals to fit the diminished dynamic range of the hearing impaired people.

A1.REAL-VALUED FAST FOURIER TRANSFORM (RFFT)

The N-point discrete Fourier transform of the sequence x[n], 0 ≤ n < N, is defined as

X [ K ]=∑n=0

N−1

x [n ] W nk K=0,1 …. N−1

where Wnk N = e−j(2π/N)nk is the twiddle factor. If N is the power of 2, the radix-2 FFT [6] can be applied to compute (1). The multiplicative complexity of the radix-2 N-point FFT is (N/2)log2 N. Ifx[n] is real, it can be verified by (1) that the frequency spectrum of x[n] has Hermitian symmetry. Consequently, almost half of the computations in (1) are redundant and can be omitted, the multiplicative complexity of the simplified N-point RFFT can be calculated as

N/ 4 log2 N− 3N/ 4 +1.

Fig.1 Architecture of Digital hearing aids

B. INTERPOLATION AND DECIMATION

The interpolation filter is mainly used in those applications where a single reconfigurable filter can replace multiple filters. When a single reconfigurable filter is used it reduces the area and power consumption. The main applications of using such type of filters are high frequency applications like the SDR. Interpolation is mainly done to increase the sampling rate at the output of one system so that this can be used as the input of another system working at high frequency. If the sampling rate has to reduced then decimation is done instead of interpolation.

Fig.2 Structure of Interpolation

C. FILTER BANK DESIGN

The proposed multi-rate architecture of the 18-band filter bank, where the symbol of X and Y denote the input and output of the auditory compensation respectively.

Page 3:   · Web viewHearing loss that strongly affects their speech communication skills and which restricts them from leading a normal life. These hearing impaired patients have an option

Fig.3 Filter bank architecture

III.PROPOSED METHOD OF MIFIR

The efficient VLSI architecture of the proposed filterbank using IFIR and multiratesignal processing techniques.

A. FIR DESIGN

An FIR filter is designed by finding the coefficients and filter order that meet certain specifications, these are the time domain and the frequency domain .Matched filters perform a cross-correlation between the input signal and a known pulse shape. The FIR convolution is a cross-correlation between the input signal and a time-reversed copy of the impulse response. The matched filter impulse response is designed by sampling the known pulse-shape and using those samples in reverse order as the coefficients of the filter.

B. MATLAB SIMULINK

Fig.4 Multirate IFIR Implementation using Simulink

Fig.5 output waveform of Multirate IFIR Implementation using Simulink

C. XILINX DESIGN

Multirate Interpolation Finite Impulse Response for 4 tap filter design using Xilinx2018.2 vivado tool. In this block shows Schematic diagram of proposed filter design.

Fig.5 Schematic Diagram of 4TAP filter

D. CADENCE DESIGN

Fig.4 Digital Design flow using EDA tool

Page 4:   · Web viewHearing loss that strongly affects their speech communication skills and which restricts them from leading a normal life. These hearing impaired patients have an option

18band octave filter design has been verified using Verilog code.

Fig.6 Output waveform of 18band fir filter on cadence using 90nm technology

Fig.7 Output waveform of 21band fir filter on cadence using 90nm technology

Digital hearing aid has been implemented with 21band octave filter on cadence tool on 90nm technology and verified manually. Finally checked with netlist file and using that file generated GDS file for 21band octave filter successfully. New method proposed (inserted) FFT algorithm for reducing computational complexity.

Fig.8 RTL Schematic of 21band fir filter on cadence using 90nm technology

Fig.8 GDS II File of 21band fir filter on cadence using 90nm technology

Fig.8 FFT implemented – RCA sub module output waveform

Fig.8 FFT implemented (8pints DIT method)

Page 5:   · Web viewHearing loss that strongly affects their speech communication skills and which restricts them from leading a normal life. These hearing impaired patients have an option

V.CONCLUSIONFrom various researches, we observe that different types of filterbank with different algorithms are used for hearing aids. It is clear that, the ANSI S1.11 1/6-octave filter bank is very suitable for digital hearing aids, but its large group delay and high computational complexity. we propose an RFFT-based 18-band quasi ANSI filter bank having low complexity designed for advanced digital hearing aids. The proposed filter bank is energy efficient and can precisely match the prescribed gains generated by the NAL-NL1 formula. Although the chip area is large (which is the price paid for applying RFFT), the proposed design is still very attractive for audio applications. For example, for applying the device with DSPs or powerful CPUs, the proposed RFFT-based quasi-ANSI sparse filter bank provides a suitable and low-complexity approach. Our proposed design extracts the significant characteristics of the 24-KHz audio for further DSP applications, such as the CNN-based speaker identification, speech recognition, and the microarray noise reduction.

ACKNOWLEDGEMENT

The authors gratefully acknowledge the grant provided by DST to carry out research on this field. The authors also acknowledge their thanks to Management of Vel Tech (owned by RS Trust) for constantly encouraging towards research.

VI.REFERENCES[1] H. Dillon, Hearing Aids. New York: Thieme Medical Publisher, 2001.[2] J. Katz, Handbook of Clinical Audiology, 5th ed. New Yorl: Lippincott Williams & Wilkins, 2001.[3] J. M. Kates, Digital Hearing Aids. : Plural Publishing, 2008.[4] D. Byrne, H. Dillon, T. Ching, R. Katsch, and G. Keidser, “NAL-NL1 procedure for fitting nonlinear hearing aids: characteristics and comparisons with other procedures,” J. Amer. Acad. Audiol., vol. 12, no. 1, pp. 37–54, Jan. 2001. [5] J. H. Chang, K. S. Tsai, P. C. Li, and S. T. Young, “Computer-Aided simulation of multi-channel WDRC hearing aids,” presented at the Proc. 17th Ann. Convention Expo Amer. Acad. Audiology, Washington, DC, 2005.[6] C.-W. Wei et al.,“A low-power mandarin-specific hearing aid chip,” in Proc. IEEE Asian Solid-State Circuits Conference, Beijing, China,2010, pp. 1–4.

[7] R. Brennan and T. Schneider, “A flexible filter bank structure for extensive signal manipulations in digital hearing aids,” in Proc. IEEE Int.Symp. Circuits Syst., 1998, pp. 569–572.[8] H. Li, G. A. Jullien, V. S. Dimitrov, M. Ahmadi, and W.Miller,“A 2-digit multidimensional logarithmic number system filter bank for a digital hearing aid architecture,” in Proc. IEEE Int. Symp. Circuits Syst., Arizona, USA,2002, pp. II-760–II-763.[9] T. Lunner and J. Hellgren,“A digital filterbank hearing aid Design, implementation and evaluation,” in Proc. ICASSP Conf., 1991, pp.3661–3664.[10]L.S.NielsenandJ.Sparso,“Designingasynchronous circuits for low power: An IFIR filter bank for a digital hearing aid,” Proc. IEEE, vol.87, no. 2, pp. 268–281, Feb. 1999.[11] Y. Lian and Y.Wei, “A computationally efficient nonuniform FIR digital filter bank for hearing aids,” IEEE Tran. Circuits Syst. I, Reg. Papers,vol. 52, no. 12, pp. 2754–2762, Dec. 2005.[12] K. S. Chong, B. H. Gwee, and J. S. Chang, “A 16-channel low-power nonuniform spaced filter bank core for digital hearing aid,” IEEE Tran. Circuits Syst. I, Reg. Papers, vol. 53, no. 9, pp. 853–857, Sep. 2006.[13] Y. Wei and Y. Lian, “A 16-band nonuniform FIR digital filterbank for Hearing aid,” in Proc. IEEE Biomed. Circuits Syst. Conf., 2006, pp.186–189.[14] Y. T. Kuo, T. J. Lin, Y. T. Li, and C. W. Liu, “Design & implementation of low-power ANSI S1.11 filter bank for digital hearing aids,” IEEE Tran. Circuits Syst. I, Reg. Papers, vol. 57, no. 7, pp. 1684–1696, Jul.2010.