7
IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 39, NO. I, JANUARY 1992 89 Wavelength-Specific Pyrometry as a Temperature Measurement Tool Michelangelo Delfino, Senior Member, ZEEE, and David T. Hodul Abstract-The addition of narrow spectral bandwidth filter- ing to infrared pyrometry improves the temperature measure- ment accuracy and extends the useful measurement range to lower temperature when applied to silicon dioxide coated sili- con. In this study, a wavelength-specific 9.4 & 0.3-pm pyrom- eter with a 0.41 constant emissivity is used to measure the tem- perature of 2 to 6 Cl * cm, n-type silicon coated with 1.3 pm of thermal oxide from 270°C to >600°C with an accuracy of f 1%. At lower temperatures, the emissivity monotonically de- creases to 0.36 at 200°C with a slope that is proportional to the thermally activated free-carrier absorption of the silicon. This dependency introduces, at any temperature, a temperature un- certainty that is proportional to the emissivity change divided by the constant emissivity. By comparison, the same accuracy inherent in constant emissivity measurements is limited to 430°C when sensed with a more typical 11 & 3-pm pyrometer. Furthermore, the emissivity change at 200°C is three times larger, resulting in an equally large temperature uncertainty. A four-phase optical model with the constraint of substrate opacity is used to approximate the constant emissivity as a function of the spectral bandwidth of the pyrometer. The greatest discrepancy between calculation and measurement is 0.14 emissivity or 24°C at 430°C. I. INTRODUCTION NFRARED pyrometry is an attractive temperature mea- I surement technique. It is rapid and contactless. In prac- tice, however, it is limited to materials with known emissivities which do not vary significantly with temper- ature or change with surface characteristics [ 11. In the case of silicon, the emissivity at wavelengths longer than the band edge is primarily a function of free-carrier absorp- tion [2]. Free-carrier absorption, in turn, depends on wavelength, temperature, the concentration of electrically active dopants, and, to a lesser extent, on the scattering characteristics of the ionized dopants [3]-[5]. At low tem- peratures and short wavelengths, tantamount in general to low carrier concentrations, silicon is nearly transparent. Increasing, for example, the temperature, the wave- length, or the electrically active dopant concentration generates free-carrier absorption resulting in silicon of practical thicknesses being opaque at temperatures above about 500°C (21. Thereafter, the emissivity of silicon is reasonably constant at 0.7 for wavelengths above about 5 pm [6]. If, however, the silicon is coated with a relatively thick film of silicon dioxide, as is often the case in silicon integrated circuit devices, then it can be made to appear nearly opaque at temperatures where it normally is not. This is done by matching the pyrometer spectral band- width to that of the Si-0 absorption band centered around 9.2 pm. Under this condition and at temperatures of in- terest, an infinitely thick film of silicon dioxide has a nearly temperature-invariant emissivity that is dependent only on wavelength [7]. Thinner films of silicon dioxide act simply as absorbing, interference coatings with an ap- parent emissivity that is a less pronounced function of the underlying, thermally dependent silicon emissivity. Con- sequently, the thermally dependent variations in silicon emissivity, which are associated with its intrinsic trans- parency, are reduced thereby allowing greater measure- ment accuracy and at the same time extending the mea- surement range to lower temperatures. This principle is exploited here in the form of a wave- length-specific pyrometer that is capable of measuring significantly lower silicon wafer temperatures than is usu- ally possible [6], [8]. Aside from applicability to rapid thermal processing (RTP) , this pyrometer is especially well-suited to temperature measurement in radiantly heated, selective chemical vapor deposition (CVD) pro- cesses [9], [ 101. In this case, normally > 95 % of the sub- strate front surface is coated with at least a 1-pm-thick oxide film. The emissivity contribution of the much smaller area contact openings and the change in emissiv- ity therein associated with the deposit are thought to be insignificant for all processes of interest. 11. MEASUREMENT TECHNIQUE Experiments were done using a modified Varian model 5101 CVD reactor. The reactor has a water-cooled alu- minum reaction chamber. Temperature measurements were made inside this chamber at atmospheric pressure. A continuous volume of dry nitrogen flowed into the chamber prior to and during measurement. Fig. 1 is a schematic representation of the chamber geometry, high- lighting the measurement configuration. Radiation from an 8-kW radial bank of halogen lamps was passed through a 1.3-cm-thick quartz window to heat the wafer back sur- face. The wafer temperature was monitored by contacting the wafer front surface within a few millimeters of its pe- rimeter with a chromel-alumel thermocouple and was back loop. Measuring the temperature of a surface with a MJIIUScript recelved September 25, 1990, revised July 2, 1991 Thc authors are with Varian Research Center, Palo Alto, CA 94304 with a proportiona1 band via a feed- IEEE Log Number 9104266 0018-9383/92$03.00 O 1992 IEEE

Wavelength-specific pyrometry as a temperature measurement tool

  • Upload
    dt

  • View
    214

  • Download
    2

Embed Size (px)

Citation preview

Page 1: Wavelength-specific pyrometry as a temperature measurement tool

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 39, NO. I , JANUARY 1992 89

Wavelength-Specific Pyrometry as a Temperature Measurement Tool

Michelangelo Delfino, Senior Member, ZEEE, and David T . Hodul

Abstract-The addition of narrow spectral bandwidth filter- ing to infrared pyrometry improves the temperature measure- ment accuracy and extends the useful measurement range to lower temperature when applied to silicon dioxide coated sili- con. In this study, a wavelength-specific 9.4 & 0.3-pm pyrom- eter with a 0.41 constant emissivity is used to measure the tem- perature of 2 to 6 Cl * cm, n-type silicon coated with 1.3 pm of thermal oxide from 270°C to >600°C with an accuracy of f 1%. At lower temperatures, the emissivity monotonically de- creases to 0.36 at 200°C with a slope that is proportional to the thermally activated free-carrier absorption of the silicon. This dependency introduces, at any temperature, a temperature un- certainty that is proportional to the emissivity change divided by the constant emissivity. By comparison, the same accuracy inherent in constant emissivity measurements is limited to 430°C when sensed with a more typical 11 & 3-pm pyrometer. Furthermore, the emissivity change at 200°C is three times larger, resulting in an equally large temperature uncertainty. A four-phase optical model with the constraint of substrate opacity is used to approximate the constant emissivity as a function of the spectral bandwidth of the pyrometer. The greatest discrepancy between calculation and measurement is 0.14 emissivity or 24°C at 430°C.

I . INTRODUCTION NFRARED pyrometry is an attractive temperature mea- I surement technique. It is rapid and contactless. In prac-

tice, however, it is limited to materials with known emissivities which do not vary significantly with temper- ature or change with surface characteristics [ 11. In the case of silicon, the emissivity at wavelengths longer than the band edge is primarily a function of free-carrier absorp- tion [2]. Free-carrier absorption, in turn, depends on wavelength, temperature, the concentration of electrically active dopants, and, to a lesser extent, on the scattering characteristics of the ionized dopants [3]-[5]. At low tem- peratures and short wavelengths, tantamount in general to low carrier concentrations, silicon is nearly transparent. Increasing, for example, the temperature, the wave- length, or the electrically active dopant concentration generates free-carrier absorption resulting in silicon of practical thicknesses being opaque at temperatures above about 500°C (21. Thereafter, the emissivity of silicon is reasonably constant at 0.7 for wavelengths above about 5 pm [6]. If, however, the silicon is coated with a relatively thick film of silicon dioxide, as is often the case in silicon

integrated circuit devices, then it can be made to appear nearly opaque at temperatures where it normally is not. This is done by matching the pyrometer spectral band- width to that of the Si-0 absorption band centered around 9.2 pm. Under this condition and at temperatures of in- terest, an infinitely thick film of silicon dioxide has a nearly temperature-invariant emissivity that is dependent only on wavelength [7]. Thinner films of silicon dioxide act simply as absorbing, interference coatings with an ap- parent emissivity that is a less pronounced function of the underlying, thermally dependent silicon emissivity. Con- sequently, the thermally dependent variations in silicon emissivity, which are associated with its intrinsic trans- parency, are reduced thereby allowing greater measure- ment accuracy and at the same time extending the mea- surement range to lower temperatures.

This principle is exploited here in the form of a wave- length-specific pyrometer that is capable of measuring significantly lower silicon wafer temperatures than is usu- ally possible [6], [8]. Aside from applicability to rapid thermal processing (RTP) , this pyrometer is especially well-suited to temperature measurement in radiantly heated, selective chemical vapor deposition (CVD) pro- cesses [9], [ 101. In this case, normally > 95 % of the sub- strate front surface is coated with at least a 1-pm-thick oxide film. The emissivity contribution of the much smaller area contact openings and the change in emissiv- ity therein associated with the deposit are thought to be insignificant for all processes of interest.

11. MEASUREMENT TECHNIQUE Experiments were done using a modified Varian model

5101 CVD reactor. The reactor has a water-cooled alu- minum reaction chamber. Temperature measurements were made inside this chamber at atmospheric pressure. A continuous volume of dry nitrogen flowed into the chamber prior to and during measurement. Fig. 1 is a schematic representation of the chamber geometry, high- lighting the measurement configuration. Radiation from an 8-kW radial bank of halogen lamps was passed through a 1.3-cm-thick quartz window to heat the wafer back sur- face. The wafer temperature was monitored by contacting the wafer front surface within a few millimeters of its pe- rimeter with a chromel-alumel thermocouple and was

back loop. Measuring the temperature of a surface with a

MJIIUScript recelved September 25, 1990, revised July 2, 1991 Thc authors are with Varian Research Center, Palo Alto, CA 94304 with a proportiona1 band via a feed- IEEE Log Number 9104266

0018-9383/92$03.00 O 1992 IEEE

Page 2: Wavelength-specific pyrometry as a temperature measurement tool

90 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL 39, NO. 1. JANUARY 1992

I ref lector

lamps 0 0 0 0 0 0 0 0 0 0 0 0 0

quartz window (warm)

emmitted light from window

quartz or opaque support

emmitted light from wafer

al chamber walls

pyrometer

Fig. 1. Schematic representation of the chamber geometry. Sources of emitted, transmitted, and stray light are illustrated.

pressed thermocouple at atmospheric pressure ensures reasonably good thermal contact. A Linear Laboratories, TM series, infrared pyrometer with a nominal 42-cm fo- cal length was focused at normal incidence through a 0.5- cm-thick zinc selenide window onto the wafer front (pol- ished side) surface. The spot size was approximately 2.6 cm in diameter corresponding to 95 % of the detection en- velope, i.e., < 5 % of the radiation sensed by the pyrom- eter was outside the spot size. The pyrometer was equipped with either an 11 k 3-pm wide-bandpass filter or a 9.4 f 0.3-pm narrow-bandpass filter. Pyrometer cal- ibration was done using a black-body radiation source with the filter and focussing optics in place. Subsequently, the current output of the pyrometer was linearized from room temperature at 500°C. The apparent emissivities of the wafers were obtained' by matching the pyrometer temper- ature to the thermocouple temperature under the condition of only allowing stray light to pass through the wafer, i.e., essentially no radiation around or reflected off the wafer back surface was sensed by the pyrometer. In ad- dition, some emitted radiation may bounce off the cham- ber walls and be sensed by the pyrometer (see Fig. 1). Experiments done with light pipes, however, suggest that this amount is insignificant when the pyrometer is prop- erly positioned. It should be noted, however, that thermal gradients across the wafer were not accounted for since the pyrometer sensed a large area in the wafer center, whereas the thermocouple measured a relatively small spot about 1 cm from the wafer edge.

111. MODELING

The wavelength-dependent emissivity of front and back surface oxide-covered silicon structures was calculated as follows. A four-phase optical model [ 1 13 was required to account for the number of layers. We define an optically isotropic system with a complex refractive index

(1) where n is the refractive index, k is the extinction coeffi- cient, and the subscriptj = 0, 1, 2, 3 refers to the ambient (air), the front surface oxide film, the silicon substrate,

NJ = nJ - ik,

and the back surface oxide film, respectively. Each layer is assumed to be optically homogeneous. At normal in- cidence, and assuming plane, parallel boundaries of sep- aration d l and d2 between layers, the complex amplitude reflection coefficient for radiation at any wavelength X is

R = 1 + e - i 2 P ~ + e - i2Pz + ro1r23e-iXPi-8z~

-i2P1 + e p i 2 0 2 + r2,e - i2(Pi +p2) r01 + r12e 01 12 23

01 12 12 23

(2)

where rol , rt2, and r23 are the Fresnel reflection coeffi- cients given by

rol = (4 - no>/(N + no) ( 3 4

r 2 3 = ( N 3 - N2)/(N3 + N 2 ) . (3c)

The corresponding phase changes are

= 2 r 4 ( d ~ / X ) (4)

with the subscript j defined above, but now allowed to take on values of 1 and 2 only. Limiting the condition of a film structure to one that is optically opaque, the emis- sivity is simply

( 5 )

The opacity constraint is met by complete attenuation in the thin-film structure, e.g. , at high carrier concentrations in the silicon, or by addition of a sufficiently thick oxide film to the back surface of the silicon. Within the context of what radiation is sensed by the pyrometer, the mea- sured emissivity is obtained by integrating between the limits of the spectral bandwidth of the filter and factoring the transmittance 3 of the zinc selenide window. The transmittance of the zinc selenide window was measured at 69% and found to be constant, i.e., less than *0.5% variation, well beyond the wavelengths of interest. This relationship can then be expressed as

E y = 1 - IRI2.

E = 3 j EydX (6)

recognizing that the spectral response of the pyrometer detector is linear across a wider span of wavelength than the bandwidth of the filter. Furthermore, it is noted that the transmissivity of the filter was corrected for when cal- ibrated against a black-body radiation source. Finally, no correction is made for the angular dependence of the emit- ted radiation.

To treat the silicon substrate as an opaque body, a non- dispersive complex refractive index of 2.5 - 0 . 2 5 was derived by matching the measured absorption coefficient and reflectivity of silicon [2], [4] with a carrier concen- tration around 2 x loi9 electrons ~ m - ~ . A substrate thickness of 500 pm was assumed to be typical, and both sides of the substrate were considered to be specular. Al- ternatively, these optical constants are thought to be rep- resentative of silicon at temperatures where it is opaque.

Page 3: Wavelength-specific pyrometry as a temperature measurement tool

DELFINO AND HODUL: WAVELENGTH-SPECIFIC PYROMETRY AS A TEMPERATURE MEASUREMENT TOOL

~

91

TABLE I

(Note: A three-phase model proved adequate for the oxide on the back surface of the silicon structures, since nearly complete attenuation occurs

in the silicon substrate.)

COMPARISON OF FOUR-PLANE MODEL CALCULATIONS WITH EXPERIMENT

Pyrometer Calculated Measured Structure ( v m ) € E

In this case, (2) reduces to

(7)

since a three-phase optical model is sufficient, i.e., the emissivity is largely unaffected by the presence or absence of an oxide film on the back surface. A comparison of calculated emissivities with those measured is discussed below and summarized in Table I .

IV. RESULTS AND DISCUSSION A. Absorption Band of Oxide Films on Silicon

Fig. 2 shows the room-temperature infrared transmit- tance and reflectance spectra of a 500-pm-thick silicon wafer that was thermally oxidized to a thickness of 1.3 pm. Both the film thickness and a 1.46 refractive index on the front surface were measured by ellipsometry at 633 n m . The back surface was assumed to have an equivalent oxide film. Fig. 3 is similar to Fig. 2 except that the ther- mal oxide was selectively removed from the back surface of the wafer by wet chemical etch in dilute HF, i .e. , only oxide on the front (polished) side remained. Note that the reflectance spectrum is essentially unaffected, i .e. , < 0.8 % difference at the peak reflectance, by the presence of an oxide film on the back surface of the wafer. The silicon substrates are in both cases n-type, ( 11 1 ) orien- tation, doped with phosphorus to a resistivity of 2-6 Q - cm. This resistivity corresponds to a carrier concentration of about 2 to 0.7 X 1015 donors * cmP3. The absorption coefficient of silicon is < 0.1 cm-I at this electron con- centration [2] so that the transmittance spectra are essen- tially representative of the oxide film only. Transmittance and reflectance spectra were also calculated for this case, assuming a refractive index of 3.5 - (8 X i for the silicon substrate [4], and found in good agreement with the measured spectra in the vicinity of the Si-0 absorp- tion band. Note that the room-temperature optical con- stants of silicon are very different than those chosen at high temperature (see Section III), since the latter are dominated by free-carrier concentrations. The spectra were obtained with a Nicolet model 740 Fourier transform spectrometer with the radiation source facing the polished side of the wafer. The strong absorption band extending from about 8.5 to 9.8 pm is due to the asymmetric Si-0

stretching mode and is characteristic of all silicon oxide based materials [ 121. The room-temperature Si-0 absorp- tion coefficient is approximately 3 X lo4 cm-' at the peak wavelength of 9.18 pm and is largely unaffected either by the method of growth/deposition, or the incorporation of dopants at concentrations up to at least several mol % [12], Similarly, increasing the temperature a few hundred degrees tends to broaden the Si-0 absorption band and shift its peak slightly toward higher wavelengths. At room temperature the reflectivity maximum is 71 % at 8.93 pm and more or less follows the same behavior as the absorp- tion maximum.

B. Pyrometer Spectral Bandwidth Fig. 4 shows the spectral bandwidth of the filter used

in the 9.4 f 0.3-pm pyrometer. The transmission maxi- mum is 85% at 9.56 pm. The half-power points, i.e., 43% transmission, occur at 9.15, and 9.68 pm, respectively, with an average transmission of 77% across the band- width. The transmission cuts off, i .e. , < 0 . 1 % , at 9.02 and 9.86 pm, respectively. The wavelength range of this 9.4-pm pyrometer compares favorably, although not op- timumly, to the bandwidth of the Si-0 absorption band shown in Figs. 1 and 2. A more judicious choice might be a filter with maximum transmission that is centered at 9.18 pm and cuts off at 9.08 and 9.33 pm, respectively. However, there is an obvious tradeoff between spectral bandwidth and pyrometer sensitivity.

The 11 f 3-pm pyrometer, i.e., 8- to 14-pm pyrome- ter, has a filter with similar infrared transmittance char- acteristics to those described for the 9.4-pm pyrometer. It is shown in Fig. 5 for comparison. The average trans- mission is 85% with half-power points that extend from 8.03 to 13.96 pm. The transmission cuts off, i.e., <0 .1%, at 7.86 and 14.53 pm, respectively.

C. Pyrometer Emittance and Thick Oxide Films on Silicon

Measurements of the apparent emissivity of 1.3-pm- thick oxide coated silicon were made as described earlier. A plot of the normalized wafer emissivity as a function of wafer temperature with pyrometer wavelength as a pa- rameter is shown in Fig. 6 for the 1.3-pm-thick thermal oxide (see Fig. 2 spectra). The emissivity values have been normalized to that measured at 500°C and above where the silicon is opaque (see Table I). Steady-state op- eration was verified by matching emissivity values ob- tained on heating with selected values reproduced again on cooling. The emissivity at 9.4 ? 0.3 pm is found to reach a maximum value of 0.41 at 270"C, in perfect agreement with our model calculation. At 11 k 3 pm, this value is 0.66 occurring at 430"C, in reasonable agree- ment with the 0.55 calculated. The origin of this discrep- ancy is not known, but may be related to surface rough- ness on the back surface of the substrate. In both cases, however, the measured emissivities remain constant to at least 600°C. Thus the 9.4 -t 0.3-pm pyrometer increases

Page 4: Wavelength-specific pyrometry as a temperature measurement tool

92 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 39, NO. I , JANUARY 1992

Fig. 2. Infrared transmittance and reflectance spectra of thermally oxidized silicon. The silicon dioxide is 1.3 pm thick and is on both sides of the 500 + 2O-~m-thick silicon wafer (front side polished only).

WAVENUMBER (“1)

1600 1400 1200 1000 800 75

60

- 45 s

w o 2

t- o w a

15

0 6 0 10 12 14

WAVELENGTH (pm)

Fig. 3 . Infrared transmittance and reflectance spectra of thermally oxidized silicon. The silicon dioxide is 1.3 pm thick and is on the front (polished) side of the 500 f 20-pm-thick silicon wafer only.

the temperature measurement range by 160°C. This is done while preserving the measurement accuracy char- acteristic of temperatures where the silicon is opaque, since the emissivity is a constant 0.41. This value matches exactly the calculated intensity. At lower temperatures ~

the emissivity monotonically decreases with a slope that is essentially the same for both spectral bandwidths. At 9.4 0.3 pm, the emissivity is 0.36 at 200°C. Any de- viation in emissivity from the 0.41 constant emissivity in- troduces, at a particular temperature, a temperature un-

Page 5: Wavelength-specific pyrometry as a temperature measurement tool

DELFINO A N D HODUL: WAVELENGTH-SPECIFIC PYROMETRY AS A TEMPERATURE MEASUREMENT TOOL 93

100

90

80

70

- 60

W 0 z

E 50 5 Q U 40 k

30

20

10

0 8.8 9.1 9.3 9.5 9.7 9.9 10.1

WAVELENGTH (pm)

Fig. 4 . Infrared transmittance spectrum of the 9.4-pm narrow spectral bandwidth filter (bandwidth = 0.6 pm).

100

90

80

70

- 60

w 0 z a

50 5 z 2 40 k-

30

20

10

0 7 8 9 10 11 12 13 14 15

WAVELENGTH (p)

Fig. 5 . Infrared transmittance spectrum of the 11-pm broad spectral band- width filter (bandwidth = 6 pm).

1.0-

21 .% 0.9-

E

"m 0.8- E

.- v) .-

U N

C

0.7 -

i

0.6 100 200 300 400 500

temperature (C)

Fig. 6. Plot of the normalized wafer emissivity measured as a function of wafer temperature (pyrometer = thermocouple) with pyrometer wave- length as a parameter. The silicon wafer is thermally oxidized to a thick- ness of 1.3 pm on both sides (transmittance and reflectance correspond to Fig. 2 spectra).

certainty [ 131, which according to the Wein displacement law

A T / T = 0.25 A E / E (8) is 6°C at 200°C for A E / E = 0.05/0.41. By contrast, at 11 + 3 pm the corresponding temperature inaccuracy is 17°C at 200°C. It is noted, that had the front surface ox- ide film been of sufficient thickness to be optically opaque, i.e., there would be no radiative contribution from the underlying silicon, then according to our model and using known constants for amorphous silicon dioxide [6], the constant emissivity at 9.4 0.3 pm would have been 0.61.

Removing the 1.3-pm-thick oxide from the back sur- face of the wafer (see Fig. 3 spectrum) raises the temper- ature at which the 9.4-pm emissivity is a maximum to 285°C. It does so, however, without affecting the 0.41 maximum emissivity value, which again is consistent with our model. It appears then that the oxide film on the back surface only reduces the amount of 9-pm radiation origi- nating from the hot quartz window (see Fig. l ) , subse- quently, passing through the sufficiently transparent sili- con, and being sensed by the pyrometer.

D. Pyrometer Emittance and Thin Oxide Films on Silicon

The apparent emissivity of 0.1-pm-thick oxide coated silicon was measured as described above. Fig. 7 is a nor- malized emissivity plot showing the importance of having

Page 6: Wavelength-specific pyrometry as a temperature measurement tool

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 39. NO. 1. JANUARY 1991 94

1 .I

1 .o

0.9 I .- z v) v)

.-

.- 5 r, 0.8

E

m

m .- -

0.7

- 9.4 f 0.3 lun pyrometer - 11 i 3 &m pyrometer

0.6

0.5

temperature (C)

Fig. 7 . Plot of the normalized wafer emissivity measured as a function of wafer temperature (pyrometer = thermocouple) with pyrometer wave- length as a parameter. The silicon wafer is thermally oxidized to a thick- ness of 0.1 pm on both sides.

a reasonably thick oxide film on the front surface of the silicon wafer. At 9.4 k 0.3 pm the constant emissivity is 0.65, and at 11 f 3 pm the constant emissivity is 0.67. In both cases, a constant emissivity is measured at 430°C and above. Model calculations are in reasonable agree- ment with calculated values of 0.51 and 0.56, respec- tively (see Table I). Again, the discrepancy may be due to roughness on the back surface. According to (8) the larger of the two discrepancies results in a 24°C uncer- tainty at 430°C. Although the oxide film is only 0.1 pm thick (there is a roughly equivalent oxide film thickness on the back surface), at all temperatures there is a pro- nounced reduction in emissivity variation measured with the 9.4 f 0.3-pm pyrometer compared to that measured with the 11 3-pm pyrometer. This effect is of course greater at lower temperatures where the silicon is more transparent. The reduction in emissivity variation while clearly an improvement is not, however, sufficient to be considered especially useful.

V. CONCLUSION

Generally, infrared pyrometry has been used for tem- perature control in silicon integrated device processing when contactless methods have been required [6], [8]- [ 101. The spectral response of these pyrometers is usually arbitrary, giving rise to unacceptable temperature mea- surements below about 500°C. Matching the spectral bandwidth of an infrared pyrometer to that of the 9.2-pm Si-0 absorption band is shown to extend the useful tem-

perature measurement range to lower temperatures at which silicon substrates are normally transparent. The measurement accuracy is not compromised, however, and is comparable to that where silicon substrates are opaque. Meeting the opacity condition allows a three-phase opti- cal model constrained at normal incidence to adequately describe the wavelength dependent emissivity. The mod- eling is useful for a priori estimating the emissivity of a laminar structure. Although not demonstrated here, the successful use of a 9.4-pm pyrometer to control and mea- sure the temperature of oxide-coated silicon is limited to silicon with practical electrically active doping concentra- tions, i.e., around 1015 carriers cmP3 at room tempera- ture. In practice, this is a rather insignificant constraint, since concentrations of this magnitude and higher are quite representative of the silicon substrates used in fabricating integrated circuit devices. Moreover, the usual highly doped regions in a typical device wafer and the tendency to use larger diameter substrates with their requisite thick- ness increase, more than compensate for this inadequacy.

A simple extension of this technique to other films of interest to silicon integrated circuit device processing is recognized. For example, silicon wafers coated with films other than silicon dioxide, such as silicon nitride, can in principle be measured as done here by appropriately matching the pyrometer wavelength to the most intense infrared absorption band characteristic of the film. In the case of silicon nitride, the asymmetric Si-N stretching mode centered around 11.8 pm seems most suitable for this purpose.

ACKNOWLEDGMENT The authors wish to thank the Thin Film Technology

Division (TFTD) of Varian for posing this temperature control problem, and for their support during this work. C. Van Wagoner helped with the setup of the 5101 reac- tor, and C. Holloway did some of the FT-IR measure- ments. Useful discussions with J. Weiss of Linear Labs are appreciated. The 1 -3-pm-thick thermally oxidized sil- icon wafers were kindly supplied by Mr. Tiemann of Telefunken, Heilbronn, Germany.

REFERENCES

[ l ] G. D. Nutter, in Theory and Pracrice of Radiation Thermomety. D. New York: Wiley, 1988, pp.

[2] L. Jastrzebski, J . Lagowski, and H. C. Gatos, “Quantitative deter- mination of the carrier distribution in semiconductors by scanning IR absorption: Si,” J . Electrochem. Soc., vol. 126, pp. 260-263. 1979.

[3] J . I. Pankove, Optical Processes in Semiconductors. Englewood Cliffs, NJ: Prentice-Hall, 1971, p. 74.

[4] P. A. Schumann, Jr., W. A. Keenan, A. H. Tong, H. H. Gegen- worth, and C. P. Schneider, “Silicon optical constants in the in- frared,” J . Electrochem. Soc., vol. 118, pp. 145-148, 1971.

[ 5 ] H. C. Huang, S. Yee, and M. Soma, “Quantum calculations of the change of refractive index due to free carriers in silicon with nonpar- abolic band structure,” J . Appl. Phys., vol. 67, pp. 2033-2039, 1990.

[6] J . L. Crowley, J . C. Liao, and J. C. Gelpey, “Effect of silicon emissivity on temperature measurement and control in rapid thermal processing,” Proc. Soc. Photo-Opt. Insrr. Eng., vol. 1189. pp. 64- 71, 1989.

P. DeWitt and G. D. Nutter, Eds. 341-345.

Page 7: Wavelength-specific pyrometry as a temperature measurement tool

DELFINO AND HODUL: WAVELENGTH-SPECIFIC PYROMETRY AS A TEMPERATURE MEASUREMENT TOOL 95

171 H . R . Philipp, “The infrared optical properties of SiO, and SiO, lay- ers o n silicon,” J . Appl. Phys., vol. 50, pp. 1053-1057, 1979.

181 J . Nulman, S. Antonio, and W. Blonigan, “Observation of silicon wafer emissivity in rapid thermal processing chambers for pyrometric temperature monitoring,” Appl. Phys. Lett., vol. 56, pp. 2513-2515, 1990.

191 H . Korner, E. Bertagnolli, and I . Maier, “Contact barrier application of selective CVD-tungsten in a bipolar device,” Appl. Surface Sei.,

[ I O ] E. G. Colgan, K. Y. Ahn, and P. M. Fryer, “Comparison of tem- perature control methods in a cold-wall single-wafer LPCVD sys- tem,” in Tutzgstrrl urid Other Refractory Metals for VLSIApplications IV, R. S . Blewer and C. M. McConica, Eds. Pittsburgh, PA: Mater. Res. Soc., 1989, pp. 205-209.

[ I I ] M. Born and E. Wolf, Pririciples of Optics. New York: Pergamon,

1121 J . Wong, “A review of infrared spectroscopic studies of vapor de- posited dielectric glass films on silicon,” J . Elecrron. Mat., vol. 5 , pp. 113-160, 1976.

1131 D. P. DeWitt and R. E. Rondeau, “Measurement of surface temper- atures and spectral emissivities during laser irradiation,” J . Ther- rnophysics, vol. 3, pp. 153-159, 1989.

VOI. 38, pp. 497-504, 1989.

1964, pp. 61-66.

Michelangelo Delfino (M’79-SM’83) was born in the Bronx, NY, in 1950. He received the B.S. degree in biology from Saint John’s University, Ja- maica, NY, in 1972, and the M.S. and Ph.D. degrees in chemistry from Fordham University, Bronx, NY, in 1977 and 1979, respectively.

Since 1973, he has worked at Philips Laboratories, Briarcliff Manor, NY; Optical Information Systems/Exxon, Elmsford, NY; Fairchild Re- search Center, Palo Alto, CA; and Philips Research Laboratories/Signet- ics, Sunnyvale, CA. In 1988 he joined Varian Research Center, Palo Alto, CA, now working with integrated processing cluster tools. He has (co)authored 60 refereed papers and received 9 U.S. patents.

* David T. Hodul received the A.B. degree in physics from Franklin & Mar- shall College, Lancaster, PA, in 1973 and the Ph.D. degree in chemistry from Cornel1 University, Ithaca, NY, in 1978.

He worked on SIMS analysis of semiconductors at Cornell, high-tem- perature chemistry at Argonne National Laboratory, and layered magnetic materials at IBM Research in San Jose. He has worked at the Varian Re- search Center, Palo Alto, CA, since 1983, focusing on semiconductor pro- cessing equipment. Recent projects involved rapid thermal processing and cluster tools.