49
, THIN FILM PROCESSES L. ".J V-1 Chemical Etching WERNER KERN AND CHERYL A, DECKERT RCA Laboratories Princeton, New Jersey L Introduction 401 [I. Principles and Techniques of Etching 403 IV, Tables of E!chanh and Etching Con,iltinns 4,13 A, Guide to the 1I se of Tanle, 4B R, Insulators and Diclcctrics 4 A, Chemistry of Etching 40J B, Factors AfTecting Etching React,,'ns 404 C Etching Techniques and Procc",,, 405 i), Pattern Delineation Etching for Th", Films 407 E, SUlfate Contamination and Cleanin!£ I cchniqucs 411 11 L Chemical Etching of Specific Materials 413 A, Insulators and Dielectrics 41l B, Semiconductof' 424 C Conductors 4n D, Miscellaneous Matcriah 432 C. Elemental Semiconductors 4JR 0, Compound Semiconductors 451 E, Conductors 463 F, Miscellaneous Material, 479 V, Summary and Cone/usions 481 Acknowledgments 481 References 4RI I. INTRODUCTION Chemical etching in thin-film technology plays a prominent role in both the preparation and the utilization of thin films. Regardless of the method of film deposition or formation. the substrate must first be suit· 401 \) I m Audt'mic I nl.: "II ntthl'l f't:t'lfuducIUlfl In any form '

Vossen Kern Thin Film Processes

Embed Size (px)

DESCRIPTION

vossen and kern, thin film processes describes how to etch a large variety of materials, used in semiconductor industry, research and science.

Citation preview

  • , THIN FILM PROCESSES

    ~ 1LfL~ ~. L.\J~ ".J w.\~

    V-1

    Chemical Etching

    WERNER KERN AND CHERYL A, DECKERT RCA Laboratories

    Princeton, New Jersey

    L Introduction 401

    [I. Principles and Techniques of Etching 403

    IV, Tables of E!chanh and Etching Con,iltinns 4,13

    A, Guide to the 1I se of Tanle, 4B

    R, Insulators and Diclcctrics 4 ,~

    A, Chemistry of Etching 40J

    B, Factors AfTecting Etching React,,'ns 404

    C Etching Techniques and Procc",,, 405

    i), Pattern Delineation Etching for Th", Films 407

    E, SUlfate Contamination and Cleanin! I cchniqucs 411

    11 L Chemical Etching of Specific Materials 413

    A, Insulators and Dielectrics 41l

    B, Semiconductof' 424

    C Conductors 4n

    D, Miscellaneous Matcriah 432

    C. Elemental Semiconductors 4JR

    0, Compound Semiconductors 451

    E, Conductors 463

    F, Miscellaneous Material, 479

    V, Summary and Cone/usions 481

    Acknowledgments 481

    References 4RI

    I. INTRODUCTION

    Chemical etching in thin-film technology plays a prominent role in both the preparation and the utilization of thin films. Regardless of the method of film deposition or formation. the substrate must first be suit

    401

    \) Im h~ Audt'mic Pre'l~" I nl.:

    "II ntthl'l f't:t'lfuducIUlfl In any form T'e'('t~td

    ().tP2~1

  • I '\

    1 !)40~ WERNER KERN AND l\''E-.RYl A. DECKERT

    uhly prepured, either by removal of work damaged surface layers or by creating a relief structure of specific geometry. In the first case, chemical polish etching is usually the method of choice; in the second case, structural etching is required. Once a thin film has been deposited. chemical etching is often used again, this lime to create patterns in the appropriately masked films.

    The aim of this review i~ to provide a broad outline of the subject of chemical etching and to present tables, with references, of etchants and etching conditions for inorganic materials.

    Numerous excellent books. treatises, and reviews are availuble on theoretical and practical aspects of chemical etching. covering the chemistry [1-28] and electrochemistry [29-42] of etching processes. A few partial bibliogmphies have been published on some aspects of etching [43. 43a]. However. most information on specific etch ants for different materials. with the possible exception of semiconductors. is widely scattered throughout the scientific literature and is often difficult to retrieve because etching is most frequently a means to an end and is usually not the primary subject matter of an investigation. An attempt has been made to bring together essential information that should prove useful to the scientist or engineer who must select an etching process for a specific material. It is obviously impossible to list all etchants for all materials. Instead, a selection has been attempted which is based. in the authors' opinion. on the practical usefulness of an etchant and a solid material in thin-film technology. The most recent and advanced information is generally given preference. Special emphasis is placed on materials and processes used in semiconductor microelectronics because a substantial part of thin-film technology is applied in this area with which we are particularly familiar from pmctical experience.

    One important application of chemical etching is in the structural characterization of materials. especially the detection of lattice defects in semiconductors, the study of distribution of localized impurities. the delineation of layer structures and p-n junctions, and the determination of composition. This specialized field of analytical etching is outside the scope of the present review. Physical-chemical "dry" etching processes such as sputter etching, plasma etching, and ion milling, are covered in Chapter V-2. What will be covered is chemical and electrolytic etching of insulators, semiconductors. and conductors in solution and in the gas phase.

    Chemical formulas noted for reagents refer to the chemicals in the usual concentrated form, as defined in Section IV .A; parts are by volume. The crystallographic notifications used are those quoted by the author(s) of the reference cited.

    lil \v-I. (HI:MICAt~'~rUllNG II. PRINCIPLES AND TECHNIQUES OF ETCHING

    A. Chemistry of Etching Chemical etching may occur hy any of ,everal llitl..:rl:1l1 pnH.:e"l:'

    [ I. 2, 81. The simplest mode of etching involves di,solutwn of the makn;.I in a liquid solvent without uny change in the chemic

  • , t

    1 404 WERNER KERN AND CHERYL A, DECKERT

    Complex formation is frequently involved in etching processes, often in conjunction with a redox reaction. The ligand groups surround and bond chemically to the etched species, forming a complex ion or molecule that is readily soluble in the etchant medium.

    Gas phase etching may involve vaporization of the material being etched in a vacuum or inert atmosphere or may involve reaction of gaseous etchants with the surl'ace to produce volatile products, Elevated temperatures are usually required,

    B. Factors Affecting Etching Reactions Etching reactions typically occur by a process involving several se

    quential steps [3. 81. The observed dissolution kinetics depend upon the nature of the rate-limiting step of the process, If the rate of this step is determined by the chemical reactivity of the species involved. the process is said to be activation limited, On the other hand. if the rate is determined by the speed at which fresh reactant can be supplied to the surface, the process is said to be dIffusion limited,

    If a series of materials are all etched in the same solution by a diffusion-controlled prm:ess, then the same etch rate is observed fur all Some etching processes are diffusion limited at low cuncentrations, but are activation limited at higher concentrations [31. An increase in etching temperature may cause a change in the etching kinetics 145 J, The presence of catalytic species in the etchant can also affect the etch rates markecly, Agitation of the solution may increase etch rate if the reaction is diffusion limited; it may decn:ase etch rate if, for example, lucalized solution heating occurs; or it may have no effect if activation control is involved, In pattern etching, the slope of the pattern edges depends on the type of kinetics involved 1461,

    Adsorption and desorption processes can affect the etching kinet1c~ profoundly, Adsorption of reactant from the etchant solution onto the substrate may produce surface complexes which will facilitate the etching process: however, in many cases adsorption of nonreactive species or formation of passivating surface films can slow down or SlOp further etching [3]. Oxide films on metals are a good example of this phenomenon, Certain types of impurities in the etchant solution, even though present at low concentrations, may be adsorbed onto the substrate and hinder etching [8). Desorption of gaseous reaction products sometimes limits the rates of etching processes [31,

    The kinematic aspecb of etching [8, 47 J should also be mentioned briefly, This refers mainly to the tendency of various crystallographic

    } v-I, (HIMIC"L titHING

    -JI

    planes to eh.:h at different rates, Various llrientati"lh oj Slllgle c'l y,(,tI 'lIh, strates may thus etch very differently in a given cldlHnl, alHI sllh,lrdln ill varying roughness may also exhibit large differellc", III clLli faIL,

    Several additional specific factors affecting etdllllg ieacliolh III \',111 OtiS types of materials will be n()ll~d in the discU"lllih of Hlsldahll, ,til.! semiconductors (Sections III.A and III.B, respectively).

    C. Etching Techniques and Processes

    Thl' choil:l' of the etching tedwil/lle to be lIsed fOI it givc'lI ,tlilallull depends upon the material to be etched, the rel/uirClllcllh of /101111:111 gC'1I eration. the nCl:essary etl:hing rcagellh, the cll:hing pnH:e;"c'S 111\ "Ivn! and other fal:tllrs slIl:h as eCOnOllllC I:Ollslderatiolls,

    I, illl III I'J'sioll

    The simplc~t technil/ue is lil/llid dlelllical immerSion 0' dip ell

    where the masked or unmasked objl'l:t i ... ~lIbmerged III IIll: dl:h ,,,11111"11

    Mechallical agitation is usually desirable as it illlplOvn Ihe lilli/'" 11111 \ oIlid

    control of the et;,;hing pro;,;ess by enhandng the C\dlallgc l.: 1"1many spel:ilic

  • r' ~',.'.. 1~ ,f.; 406 WERNER KERN AND CHERYL A, DECKERT ~

    J, Electrolytic Etching

    Electrically conductive or semiconductive materials are frequently etched by application of external emf potentials. Electropolishing of metals and semiconductors is a good example of this technique. The rate and selectivity of etching can be controlled by the potential and/or the ~ ,:; ~ current density applied, Electrolytic etching is considerably more compli:{

    cated than other techniques, but can yield results not otherwise attainable. Specific conditions will be described for various materials in the text and in the etching tables,

    4. Gas-Phase Etching

    High-temperature etching in the gas or vapor phase is generally used for chemically inert materiab that cannot be etched readily in liquid reagents. A different application is for in situ etching of semiconductor substrates immediately prior to epitaxial film growth in the same reactor to avoid surface contamination that would result by other techniques,

    ;t ~ 5, Mechanical-Chemical Polishing

    :1 This technique is used in semiconductor wafer preparation when a relatively defect-free surfa(;e is required, The combination of slow liquid~

    ~ chemical surface etching with gentle mechanical abrasion to continuously

    remove products from the etching reaction can result in a high-quality surface polish if carefully optimized conditions are observed, as will be de" j scribed in Section Ill,B,'p,

    $:, i 6, Isotropic versus Anisotropic Procesus

    Isotropic or nonpreferential etching proceeds at an equal rate in all dif rections. Amorphous materials of uniform composition etch isotropically,J' whereas many crystalline materials etch both isotropically and anisotropically. Anisotropic or preferential etching depends on the crystallographici1 orientation of the material and on the etching reagent used, If polishing

    t action is desired. isotropic etching conditions must be selected to achieve a structureless surface. If structural shaping is the objective. as in the formation of deep depressions having side walls of a specific taper angle, anisotropic conditions are required, Both liquid and gas-phase etching can be used for these two types of etching processes,

    7, Selective Etching PwcesJes

    Selectivity refer~ to the differences in etch rate between different materiah, or between compositional or structural variations of the same ma-

    T ....) -'-v-I, UIEMI(AI. lelnUNG ((' ,

    terial. It is one of the most Important faclor, III "pplicd ell hili): '>1.,,( technological etching processes must he controllahly ,e/eui\ 1."'.llI'" the material to be etched i.s usually part of a ,trllctlile Ihal c"n'l'\l\ ul 'on eral material components, Seleclivily III etdlillg is :I"hined h) 1'1 (Ipc' I choi..:e of etching techni411e and

  • r1 T )1~ /'1 ..OK WERNLR KERN AND CHERYL A, DECKERT

    I, Mllsking Materials

    The most often used masking materials for high resolution thin film patterning are photoresists (SOl, organic polymers whose solubilitie~ in certain solvents change drastically as a result of exposure to uv radiation. Usually, exposure is carried out by placing a glass plate bearing the de~ sired pattern in an opaque material (such as photographic emulsion or chromium) over the photoresist-coated substrate and irradiating through the glass plate, Negative photoresists become less soluble in the developing solution in areas that were irradiated, thus producing a negative image of the pattern on the glass plate. Positive photoresists become more soluble in exposed areas and thus produce a positive image of the original pattern. Excellent photoresists are available commercially from a number of sources. Negative photoresists are generally tougher than positive resists and can usually withstand more rigorous etching processes, Positive resists are noted for their superior resolving power, and pallerns as fine as I lim have been resolved using positive photoresist. Electron beam and x-ray resists can produce very fine resolution but they have not yet come into widespread use because of high processing costs,

    When the etching process to be used in patterning the ~ubstrate involves extremes such as elevated temperatures or strong acids, photore~ sist masks may not provide adequate protection, In these cases. metal or dielectric masks, which can withstand the etching process more effectively. are often used, In such cases, the mask is first patterned using a photoresist process. For example, chemically vapor-deposited (CYD)

    SiO~ is used as a masking material for CYD Si3N4 films. which are typically etched at 180C in H"PO . conditions which would quickly degrade photoresist films. The Si01 itself is readily patterned usinl!. a room~temperature etching process with a photoresist mask,

    Sometimes, a high temperature or extremely degrading chemical etching process can be replaced by an electrochemical procedure which uti~ Iizes a much milder solution, thus allowing a photoresist mask to be em~ ployed [5

    In cases where high resolution is not a requirement, very simple masking procedures are possible. Ordinary cellophane tape is used to mask against a variety of etchants. Other masking films such as positive pho~ toresist or silver paste can be applied in the areas to be protected using an artist's paint brush. Certain waxes which melt at temperatures of 100250C can be painted onto a hot substrate and will resist many etchants,

    2. Adhesion and Inler/ace Problems Good adhesion to the substrate film during etching is the prime re

    tll1irf'mf"nl nflhe mn~k material. Loss of adhesion usually occurs in one of

    V~1. CHI:MI(AL EIUIING -III' j

    two way~ 1521: (a) edge allaL'k at the interfill.:e by tlie' t.:lchant (lIlltkn:111 or (b) failure over a large area (lifting, peeling, CidlingJ.

    (/, f.;duC' AIIUCh. If mihk~to-lilm adhe~illn refl1aill~ pt.:rkLl thlullgl".1I1 etching, and if the etlhing process is isotropic, a ddilll''L,h;n" f1IT~~ L,,, '~"" II.! ,

  • 'T

    410 wtRNER KERN AND CHERYL A, DECKERT

    ting take place, since a sloped substrate edge is easier to coal uniformly than a sharp edge, if additional layers are to be deposited subsequently, In such cases, a very thin layer of nraterial. which dissolves in the etchant more rapidly than the substrate film, may be deposited prior to masking in order to achieve controlled undercutting, This is depkted in Fig, 2c, A recent example of this method is the beveling of permalloy films using a Ti overcoat [54], h, Large-Area Failure, Sometimes mask/substrate film adhesion failure occurs over a large area of the interface, This failure can show up in several ways. A portion of mask coating may be lifted completely from the surface, it may peel up either from the edges only or else craze and peel over the whole surface, or it may blister or bubble across the surface. These failures are usually due to differential stress buildup in the substrate film and mask layers, Thermal or chemical treatments can cause the masking film to go into tensile stress relative to the substrate layers. in which case peeling. crazing. or lifting can occur, On the other hand. if stresses in the mask become highly compressive compared with the thin film/substrate composite, blisters and bubbles will appear in the mask layer. These problems can be minimized by using a mask material either with a similar coefficient of thermal expansion to that of the substrate or witt! sufficient elasticity to conform more easily to the substrate,

    3. Factors Affecting Image Resolution The most obvious factor inHuencing image resolution is, of course. the

    resolving capability of the masking material. As already mentioned, negative photoresists have considerably poorer resolving power (- 3 I-Lm line widths or spacings) than positive resists (- I I-Lm), Metal and dielectric mask coatings are capable of generally finer resolution, down to the order of the grain size, Electron beam and x-ray resists cat. also be imaged to very fine dimensions (-80 Aresolution has been reported

    The thicknesses of both the masking material and substrate film limit their resolution capability when chemical developing and etching procedures are used. Since isotropic chemical dissolution produces sloped edges, a good rule of thumb is that the thickness of the layer to be patterned should be no more than one-third of the resolution to be achieved. Dry etching processes, such as plasma and sputter etching [56], can produce very steep pattern edges, and thus finer resolution can be attained with a given film thickness,

    Etching processes which involve gas evolution can lead to poor image resolution because of gas bubbles clinging to the substrate, particularly along the edges. This problem can usually be alleviated by the use of a

    )v-I. CHI MICA] 1,I, or simple mechamc,\1 mcan, sudl as sU'uhblllg III

    Organic n':,sidues are removahle dllwn It) IllUll,llaYI.'1 !evc'l, h) dissolution in suitable organic solvenb, or hy vapm IdhlXlllg in oigallic solvents or azeotropic ~olvent mixtures, Cumpicle IClllo\;d I' gl.:lIcl

  • 1 ( 412 WERNER KERN AND CHERYL A, DECKERT

    face impurities to penetrate into the substrate and give rise to undesirable effects, such as electrical instability of semiconductor devices,

    The deposition of impuritie~, especially heavy metals, from liquid etchants onto semiconductor surfaces is well known since the early experimental work by Holmes el ai, 15,591. and the reviews by Gatos and Lavine [8] and later by Faust 160], Kane and Larrabee [17] reviewed the literature up to 1969 on the deposition of chemical impurities from solution onto semiconductors, More recently, Kern reported results of comprehensive radioactive tracer adsorption studies of anionic and cationic etch components [61] and trace contaminants [62, 63) on Si, Ge, GaAs, and SiO~ surfaces [64], In addition, a decontamination method based on sequential oxidative desorption and complexing with Ht 0 2 -NH.OHH20 followed by H20cHCI-H20 was devised [64, 65J, Its remarkable effectiveness was verified specifically [66-69al and indirectly (70-721 by several authors,

    Various additional aspects of cleaning Si surfaces have been reported [66, 71-77], Surface contamination of GaAs has been reviewed by Stirland and Straughan 123), Meek PH] used Rutherford ion bachcatlering of high-energy ions as a sensitive surface analysis tool to determine the impurities left on clean Si surfaces from various etch components and organic solvents, Neutron activation analysis of Si slices that had been exposed to buffered HF etchant was employed to identify problematic trace contaminants in the NH4F component as As and Cu [79]; purification of the etchant by treatment with Si chips (80) effectively removed the impurities,

    A series of 18 symposium papers on the preparation and characterization of clean surfaces indudes theoretical and practical aspects related to surface contamination on a variety of materials [811. Ryan ('I ai, 1821 and De Forest [83] have described the preparation of clean surfaces prior to photoresist coating, Holland [84] discussed cleaning treatments for glass surfaces, Brown [85], and more recently Mattox ('I (ii, [86, H7] have reviewed those for thin film substrates of many types, Short-wave uv radialion has been found effective for removing hydrocarbons from glass surfaces 187. 88] and for removing photoresist residues tH9], Ozonization is an alternate method that offers several advantages [YO], Selection, specifications. and other aspects of surface preparation processes for numerous materials have been compiled by Snogren [911,

    Surface cleaning by glow discharge sputtering techniques can also be very effective [851, Most organic suM'ace contaminants are removable by' chemical sputtering in 0" [92 -941, Sputter etching in Ar removes residual oxide layers on metals, as noted in Chapter I, Section V,c. However, "uM'ace recontamination due to backscattering [95-97 J or ion migration

    ) v-I. CflEMICAL I:.ICHING

    11 \

    can occur dunng rf sputtering treatillellh, lllllc~~ processing condition~ arc employed [91'11. Ultrahigh vacuum h

  • T

    414 WERNI:R KERN AND CHERYL A, DECKERT

    t ~ t. used for patterning by photolithographic techniques, strong aqueous hy~ drofluoric acid at room temperature, hot 85% phosphoric acid for pattern ~~ etching with oxide or metal masks, and miscellaneous other etchants,

    usually strong mineral acids or bases. Vapor or gas phase etching is used only in the preparation of insulator substrates,

    The majority of insulator and dielectric compounds, being amorphous or extremely microcrystalline, are classified as glasses, Therefore, etching in these cases proceeds isotropically, and variations in the etch rate of a specific material in a given etchant are functions of chemkal composition, film density, residual stress, defect density, and microstructure. The etch rate generally decreases as the density or crystallinity of a material increases.

    As in all etching processes, selectivity is one of the most important etchant parameters in practical applications, A survey of the uses of selective etching of dielectrics in semiconductor device processing and in analytical applications for compositional and structural characterization has been published recently 1271.

    A qualitative summary of etchants for important insulators and dielectrics is presented in Table II of Section IV, A more concise compilation would be of questionable value because the etch rates depend very strongly on the exact conditions of film formation, Furthermore, materials consisting of more than one single component, such as silicate glasses, vary continuously in their etch ralC according to composition, so that a graphical etch rate presentation is more instructive, Emphasis in this section is therefore placed on the discussion of general trends and a survey of specific results and references from the literature,

    :1, Single Oxjde~

    u, SiO I . Etchants for Si02 are based almost exclusively on aqueous fluoride solutions, usually HF with or without the addition of NH, F. The exact chemical mechanism of dissolution is quite complex; it depends strongly on the ionic strength, the solution pH, and the etchant composition which determine the available quantities of solu tion species including Hfo"'2, HF, F-, H+, and various fluoride polymers. Raman spectroscopy has indicated the presence of numerous reaction product species (such as hexafluorosilicate ions) in etch solution [281. Detailed studies of the reaction mechanism underlying etching of Si02 have been reported by several investigators [28, 105-1111,

    Addition of NH.F to HF to control the pH yield" so-called buffered HF (BHF); it is imponant in pattern etching of Si02 films using photoresist masks ll4J where attack of the photoresist masking layer and the

    v-I. CHI;MICAI. ElUIlNG I I )

    polymer /dielectric inteliace must bL' minimil.ed, Ammolliul1l l1uuride illl dition also prevents depletion of the Ilullnde ions. thu, lIlailllaining stahlL' etching characteristics, The actual rule of Nil, F mali h..: one "I ;'11

    SiF"(NH')2 precipitating or complexing agcllt mlher than Ihat (11'.111'1,.

    buffer 11061, Selcl:tivity in pattern etching of SiO, laya., 'HI AI devil.:" llIet,t1Ii".tllllll

    can be improved over BHF by additIon of it dihydroxy;\k"ll/wIII12Iur .,1 glycerollll3ltll the BHF to inhibit allal.:k of the.: lll,'lal

    Pattern etching of SiO" tilms in vapnrs !'rurn aqlll'olis III:, althulI!-!h used, is an interesting alternative tn liquid c:tehing .iIl.! Ciln Yield

    comparable results at rcasonabk rates 111011. It P"H':":"

  • ,

    f,

    416 WERNER KERN AND CHERYL A, DECKERT!

    temperature at :50,2 A/min [152J. The etch rate in lO wt % NaOH at 23Cf is 0,1 A/min, at 55C 5 A/min, and at 9OC 500 A/min 1153]. The etch rate! of oxygen-deficient Si02 in HF solutions decreases, and SiO requires the addition of HNOa to attain etchability. Alternatively, hot solutions of concentrated NfLF mixed with NH.OH or alkali hydroxides can be used for etching SiO films [14]. b, Ti02 , Ta205. and Zr02 As a general rule. dielectric films deposited at low temperature exhibit high etch rates (often due to their low density and amorphous structure), whereas films of the same compound that are annealed or deposited at high temperature exhibit consistently lower etch rates. For example. low-temperature (l50-3OOC) CVO Ti02 [154-156] is readily etchable in 0.5% HF or in warm 98% H2SO whereas films annealed at IOOOC etch only slowly in 48% HF or in hot H2 S04 or H3 PO. {154, 154a, 1551.

    Pyrolytic Taz0 5 films deposited at 500C are soluble in dilute HF [157]. Films of amorphous Ta~O~ (but not high-temperature crystalline Ta~O~ films 1158)) formed by anodization of deposited Ta films can be etched in HF-NH.F solutions [159, 160], Electron irradiation of Ta205 (and AlzOa) films decreases their etch rates [161], in contrast to SiOz films. Tantalum penlOxide films can be patterned with 9 vol NaOH or KOH (30%) plus I vol H,D: (3()%') at 90C using a Au mask; the etch rate ranges from 1000 to 2000 A/min 1I62. 1631.

    Monoclinic zr02 films prepared by CV 0 from ZrCl. at 800-1000C are slowly etchable only in hot H3 PD4 [164]. c. AI20 a. Films of AI2 prepared by CVO below 500C [165-169],0 a grown by plasma oxidation [147. 1701 formed anodically [161, 171, 172], or deposited at low temperature by evaporation [ 161, 1731, obtained on Al by boiling in H 20 [174], or deposited by sputtering [175-1771. are etchable in HF. BHF. warm HaPO., and etchants based on HaPO. Thermal densification at 7oo-8ooC tends to form crystalline modifications that exhibit much lower etch rates [165, 168].

    Aluminum oxide films deposited by the AICI3 hydrolysis process at 9OO-IOOOC are nearly unetchable even in concentrated HF solution and require boiling 85% HaPD. [135. 178-180). The etch rate in 85% HaP04 at 180C is typically 100 A/min; etch masks of CVO Si02 are useful for patterning these films [181].

    Selective etching of anodic AI20 a on Al in multilevel integrated circuits can be accomplished, without attacking the AI. by use of a solution containing HaPO. and CrDa [182J. d. Bulk Oxides. Sapphire (a-AI20 J ), spinel (MgAI20~), and beryllia (BeD) ulled as substrates for heteroepitaxiaJ CVO of silicon layers are slowly

    ,} v-I. CHEMICAL t.T(tllNG

    ..J17

    etchable in /)ollmg concentrated H;;PO,-H2 SO, mixtlln:, II~J, IMI. (ja~phase dchlng at high temperatures has abo been u'>l'd slIccl'.ssfully for polishing sapphire [ISS) and spinel 1 1~61. OissollHion l)f surfacl' irn:gul;1/ itles from crystalline AI"O" has been accomplished by trl'alll]cnh \\ IIIi molten V" 0" above HOWe 11~7J; mclls of K,S,O,. PhO PhF"

  • ~,~.'~.'
  • 420 l

    WERNER KERN AND CHERYL A. DECKERT

    1500r,----,r--,---,-----y

    ;1000 i

    :! ...

    :.. Ill:

    J l -~ 0

    __-L----L_ L_ _ I 2

    WOlt % As! 0, IN GLASS Fig. 5. Etch rates al 26"": loe of heat-Ireated arsenosilicatc gla" films in BHf versus

    mole percent As...Q, in the glass. Etching Mllutions were prepared as noted in Fig. 4_ After 5 hr at Ilooe in Ar: 0,1000/0 buffered Hf; to. 50% buffered HF; . 100/0 huffered Hf; . 1% buffered HF (from Tenney and Ghezzo 11%1. reprinted by permiion of the publisher. The Electrochemical SocielY. Inc).

    217]. The incorporation of AltO;J into the glass structure tends to decrease the P-etch rate of the AISG, whereas the addition of PbO increases it in comparison with Si02 [16]. High-temperature CVD films containing more than 5~ AI20 a are resistant to HF but are etchable in hot HaPO, similar to AI20 a .

    e. Other Silicates alld Oxides. Additional binary and ternary silicate glass films synthesized by CVD bdow 500C are all etchable in aqueous HF solutions, and include zinc silicates, zinc borosilicates, aluminoborosilicates, aluminophosphosilicates, lead silicates. and lead borosilicates [190, 191, 199J. Chemically vapor-deposited AltO:! containing several percent Ta.JOs becomes amorphous and etchable with HF or BHF (218). Germanosilicates are etchable in BHF.

    Anodically grown native oxide films on GaAs are readily etched in dilute HCI solutions. Films heat treated at 600C become unetchable in Hel, HN03 NH.OH, or NaOH solutions, but they can be etched in hot concentrated HaPO. [2191 or concentrated HF.

    Plasma-grown oxide films on GaAs are practically insoluble in acids and alkalis except boiling HCI (50-80 A/min) [220). Plasma-grown oxides of complex composition on GaAsu.tiPo , and GaP, on the other hand. arc easily soluble in acids amI alkalis! 220J.

    - ._------------

    f ) v-I. CIH-MKAL ETUllNG

    -I I

    4. Mliltic()llIpollt'1I1 Silinlft' Gla.\.\c,1 Lileralllre rden:nt:es on etching of llllllticompoll

  • T

    422 WERNER KERN AND CHERYL A. DECKERT

    230). The etch nile is strongly affected by the presence of any oxygen linkages in the films; in HF and BHF it increases with increasing oxygen content, while in H3PO~ it decreases.

    The dissolution process for CVD Si3N~ films in acidic fluoride media follows the same rate law as does thermal Si02 l23

    R = A[HF] + B(HFil + C, whereR is in angstroms per minute and the concentrations are molar. The rate constants for the dissolution processes are summarized in the accompanying tabulation.

    Film Temp,oC A B C

    Si.N. 25 0.16 0,31 O.

    '"' 6 :x:

  • , ,

    ~~A W~RI'oI:R KERN AND CHERYL A. DI:CKERT

    Thl! elch rall! 01 !>11l..:un tudies have been reported on the mechanism ofSi etching in HF-HNO,

    ) .j ) , v-I. CHEMICAl. [ICIllN(i

    HF (49 ,,~',.)

    50

    40

    30

    H20 90 80 70 60 50 40 30 20 10 HN0, (b'l ~l %)

    fig. 7. Curvc\ of ton!',ltwt fate of I.:hangt:' of die loi(klll..'''''' (I1ul" I'd 1IIIIliltlc' >wUllllllllt.:d I""U Si ""arcr ~urral..'C\) d\ a fun..:tion of ~'t:hanl i,.'olllpo\uillll, III till' ,..Pi'; ffJ 70'; Jfl\.t ,),Iem (from SchwurlJ. und I{oholn, [256). repnnkJ by perm",,,,,, "I II.c puhl"hc. I Ill'

    ~.IcCIfl).;herlll(al S''''lcI y, Inc L

    1,2521, in ternary mixtures of HF-HNO:,-HtO, ami in .HjU":OIl\ HF-HNO,,-CHaCOOH compositions 1253 -2561. In high III dd}anh III..: HNOa (ofll.:cntration ddcrmincs the ett.:h rate bCC

  • 426 WlRNlR KERN AND CHERYL A. DECKlRI

    t1f(4925%)

    O PEAKED CORNERS a EDGES

    rn!!I SQUARE CORNERS

    Ii:i;I a EDGES

    rn ROUNDED CORNER a EDGES

    t1 Z0

    Fig. 9. Resultant geometry of the etched Si die as a function of the etthant comp sion of the publisher. The Electrochemical Society, Inc.j.

    ,.,-,v-I. CHEf'ileAl EICHIN(, ,",.1

    tropic liquid etching has been used for thinning tlf tie ami Si ,Ii~e, 1261266]. for prepassivation surface cleanup 12671. and fnr poli,hlflg 126X-2791.

    Germanium etchants based nn HNO,,-HF-Il,O 01 HNO,,-lIF CH3COOH are difficult to control, mainly hccau,e III varlahk indll~lt(lll periods [280-2841. The HF-H~O~ -H/) etch system alTolo, mudl hell

  • f

    ~I,,!

    4:!~ WERNER KERN AND CHERYL A. DECKERI

    n' -type Si substrates is also possible with alkali solutions in which Ihe: etch rates are strongly dependent on the electrode potentials [303, 337. 338].

    Anodic oxidation of Si in electrolyte solutions based on organic mel"a {339], followed by oxide dissolution, has been described for sectioning 10 the determination of Si diffusion profiles {340J. Objects in contact with the Si surface can either slow down or enhance the local etch rate consider ably [34l}. Substrate and etching conditions in the anodic dissolution of Si in aqueous HF can lead to brown layers, etch pits, and porous channel) 1269,322,328,329,331-333,337.342-344] caused by preferential etching and partial dissolution at localized sites (251, 331-333]. Single-cry~ta1 films of porous Sit formed purposely from n- and p-type Si by anodic reac tion in concentrated HF 1329, 344-346], are very similar or identical to these brown channeled layers.

    Selective etching to dissolve Si of different dopant types and re!>i!>ti\ities can also be achieved by chemical technique without use of external electrodes [263.268.304.347-3581. exemplified by Fig. II and the dat.a presented in Table VI of Section IV.

    d. Gas- and Vapor-Phase Etching. Gas- and vapor-phase etching ale widely used for polishing of Si substrate wafers in situ prior to epitaxl.d crystal growth. The most successful reagent is sulfur hexafluoride. Sf,. It produces a smooth, mirrorlike surface when reacted in a dilution v.ith HI at 950C [359) or (more usually) above I050C. according to the overall reaction [360]:

    4 Si (.) + 2 SF, (gl -+ SiS-, (!> or l) + 3 SiFt (8)

    1 ~ I==PRfFfHp::J

    l: ......

    ...

    o O!>~ l: u ....

    w

    I I

    --r '.

    1 )( 10 11 , )( 10 '8 , )( 10'9 , )( 1020 BORON CONCENTRATION

    !'i,. II. Selective etching of .ilicon: Si (100) etch rate per minute ver>u. boron cuo,cn tralion. Etch"nl ~ystem i. KOH-H,O-i>opropyl alcohol at sire (from Kuhn an.J Itll (34!1). reprinted by permi~.ion of the publisher, The Electrochemical Society. Inc.).

    .j v I. CHEMICAl. ETCHING L~'J

    Since the free energy of the reaction is 706.l:l1 10.

  • 430 WERNER KERN AND CHERYL A. DECKERl

    e. Chemical-Mechanical Polishing. Polishing by combined chemical and mechanical processes is usually the last step in preparing flat and specular wafers in silicon device manufacture. The generally preferred technique is the silica-sol (Syton [381]) method [382, 383]. The medium consists of a colloidal suspension of silica gel in aqueous NaOH solution of controlled pH and is dispensed on the polishing pad of a rotating poli!>hing machine. Silicon removal proceeds by oxidation of the surface by water in the presence of the alkali ions and continuous dissolution of the surface oxide, aided by the silica gel which serves as a mild abrasive [383-31561. The process can also be used for polishing Ge wafers, but H20 2 mu~t be added to the dispersion to achieve a smooth surface finish [3153].

    Another process for 5i employs an aqueous solution containing copper and fluoride ions [387, 388]. The Cu+ 2 ions are reduced by Si to metallic Cu, and Si is oxidized to Si". The Cu deposit on the wafer surface i~ removed by a polishing cloth, while the oxidized Si dissolves as fluosilicate.

    Mechanical polishing without use of abrasive particles can be com bined with liquid chemical etching by moving the semiconductor wafer with uniform pressure on a polishing cloth soaked with the etchantliquid. This technique has been used for Ge [389] and GaAs [390, 391].

    Several reviews are available on semiconductor slicing, lapping, an" polishing, and the damage introduced by these operations [5, 3!52-3H5. 387, 392-394).

    2. Compound Semiconductors Several reviews are available on etching of compound semiconductor,.

    [2,5,7.10,13,19-23, 43a); a very recent survey [27a] was prepared in conjunction with this chapter to complement the tables in Section lV.D. We shall therefore only outline this section, and present the details later 10 Tables VlIl-XIII.

    a. Group IV Compound Semiconductors. The only group IV compoulki semiconductor of technical importance is silicon carbide (SiC). Etchanl\ consist of molten alkalis or borax [395-397 j, Cla-Oa at 900C and aJ:x.l\e [396, 398J, and H2 above I 600C (399J (Table VIII). Electrolytic etching III HF solution is specific for p-type SiC [397, 400]. b. Group III-V Compound Semiconductors. The single most impor' tant compound semiconductor is single-crystal gallium arsenide (GaA~1 Etching reactions of this, as well as other compound semiconductor" 4f(, complicated because of crystallographic surface orientation elTe.:t ~ Chemical etching of GaAs (and other III-V and II - V I compound l>Cml conductors) proceeds by oxidation-reduction-complexing reaction~ a.Jl4I. ogous, in principle. to the general mechanism for Si and Ge etching.

    ) vI. Clll.MICt\I II CIlI N(, ..HI

    The mo,t commonly employed etchanh for (jaA, an: Ih, ('H"UII -404], NaOH-H,Ot 1405, 4061, H,SO,-H,O,-H,O 1 4()6-40H I,

  • 4Y2 WLI
  • I pJnUIllJOJ)

    !

  • ;:;,. r c: ~::c ~~!?~~~ -::J -:;

    ::0 00006 r :::; c -'j :5 -:> E ~ t ~

    "- !i -:> '"

    ~

    E j :.. -:>:i !,) 1 -:>I :.. r.:! -:> ~6 ;; ;;, ~ ~ c. ~Z r

    N c:""' ::c -:; -:>

    ., -E " -'" , c: '"'

    r

    ;:; -:> if ;:) c:: 1:....

    e u '" E -:> :.. ~ ::c

    ."c. -5 'C .c: r r.9 ,. j

    Q. ~ i '" ;:;" c

    Q. "

    .c: "..) c:: ~ a ~ l.I.. 56w :::::: ~ ?:,~ .~ ; '" ;:) ::ll-::I

    ,.2 " c: c:: ;; '" 'i .c:" ~ ;; 2$"'" ::c VI '5 ..I, r ;:;'"' -:>" ~ ;; " '"' r ::J

    ~ :: :; -:> " "

    ,g c + +

    ';;:; 2 ~

    rE "

    ~ ~ ~(>

    o Q. ~j~" z ::: ::C3::c " "" ".u " I 5'"

    '" ...J ~ ;;., It. ~ " or, 0 ~ ~

    -

    N'"

    .r, ... N r- r- ~ r- => ':: r- r. r- r- " => ;.:)

    => i2 :5 :5'.., ;."

    or,

    ::c S t; ;." "" .... v ;." .... S ~ r--'

    ;; :J\

    ;;;:! r-

    's~;mS 1,~q ~II!J IP13 , WnlP;}W ,;}IIU q~13

    loq :~lnleJ;}dw~1 l!U!q~13 J 'qll'q ,;}IP.J q=>13 p 'p;}le~lpu! ;}SIMJ;}qlo sS;}lun Pl0;') :;)lnleJ;}dw;}1 lIulq:>13 o Q

    j'd r r ;J IJH 'jO'H I{ I{ I{ (j

    I{ {,,, r {'(j

    8 'j 8 'j j'iI J 'ONH .) iI I{ 'j p

    ;1 ,~ p I{ 19 ''''.:!l (j :I I{

    (jJDH ,~ (j " I{ (j r /'1{ ,1

    1.1) I.JH ,1 i! :I if (II ;}Iqe.ll 1'J ;j 1'iI I{

    ...

    'UJ.jI!I'I\ :~lnleJ;,dW;)1 lIuIIPl3 ' 'IIU :~IIU q;,)13

    ''1\01 :;}II!J q"13 'qihq ,u;}A :~IIU q"l] J

    'P;}le"!PUI dS!I'I\J;)ql0 ,s;}lun GA,) :poql;}W UOillSod~~

    ;J J

    !'I{

    I{ .)

    .)

    I{

    P I{ :I

    p

    '!lnq '0001 "Inq '0001< "lnq '0001<

    O~~-OOv ()O9-00,

    ews"ld 'O~Z OOOI-OOL OOOI-()()L

    Jlpoue '009 ewsp.ld '00> UOlsn) '0001 <

    leUOSI!JI;).1 leuoll"x;}H

    Jlqwolj~

    JIUIPOUOyt sno4dlOwy sno4dJowy snoqdJowy snoqdJowy sn04dlOwy sno4dJowy snoljdJowy

    '0;)9 'O'qN

    "OJH 'N~9

    'H'NrIS .c;,.,.,.

    'N'IS 'N'OrIS

    S;)Plxo-sY"9 ';lle:>111'lll"'"

    (lully 1~)()t 1 'OS'H 'Od'H :JH8 :JH Uo,dw:jj ;}Jnl)OJIS l!1u.;)I'!!W "jueq:J);) J;)q10 HO"N l"dUU!! Jl) ' UO IlI!.1Y'poW

    ",UOIlipUOJ pue ";}I!1J 4:Jl;) 'SIUBIPI3 /tUlJllBW10.:l

    (Pi/'"'!1UOJ ) I alqll1.

  • C. Elemental Semiconductors Table III

    Isotropic Uquid Etching, Si

    No, Etchant Substrate. etching conditions Application. etch rate. remarks Refs,

    2

    .. 3 oc'"

    -

    4

    -

    f)

    7

    .r..

    .:::

    ~. t "-~/

    9

    10

    II

    1~

    HF. HNO,. H,O. or CH,COOH

    HF. HNO,. H,O. or CH,COOH

    HF. HNO,

    (al 98 HNO,. 2 HF (b) 98 HNCl" 2 HF (c) 98 HNO" 2 HF (d) 91 HNCl,. 9 HF Ie) 84 HNCl,. 16 HF (f) 75 HNCl" 25 HF (g) 66 HNCl,. 34 HF 900 ml HNO,. 95 ml HF. 5 ml

    CH,COOH. 14 g NaClO,

    I~HN(),. ~HF. 3CH,COOH

    , UU PI n'nM'

    IUUN(), ."V';'), tHt- ....Y',.

    One of the followmg (a) 5HNO,. 3HF. 3CH3 COOB (hl 17 ml ethylene diamine.

    8 ml H,O. J g pyrocatechol (Ref JIll

    74SHNO, (65%). 10SHF (4oq), ",K'B,COOH (96'J1. 7SHCIO. poq)

    One of the follOWing

    ta) 9S ml HNO, (6S'J). 5 ml BF (4W;Y). 1.0 g NaNO,".,1, 'l,1o'{,

    tin 100 HNO,(6S'J).40'H,O. 6HF 14oq)

    ~!4 . 50 ml HF, 50 ml CH,COOH,

    200 mg KMnO, (freshl

    la) BF (in 4H,O, I HF I() % ml H,O, 2 ml HF. 4,3 g

    ~aF

    108 ml HF and 350 g NH,F per 1000 ml

    (! I II Si. ntype 2 flcm: 25.{)" bath. stir, ring. sample in agitated Teflon basket

    (I Ill. (l0!. (110) Si. n-type. 3 fl-cm: Pt beaker. 0-5()". Pt-mesh sample basket. agitation

    (II!) Si, n-type 0,05-8 fl-cm. p-type 1278 fl-cm, stirring and sample rotation, 3()" orlmin

    45 rlmin

    88 rlmin

    88 r/min

    88 r/min

    88 rlmin

    88 r/min

    SI wafers; 0,5 liter/min CO, bubble stream in illumin, Teflon apI',; floatmounted

    II and ,HYpe Si: planetary jel polishing apl"l wuh ~clprocall", nozzle al

    910 qclC"f",Uft ... em'; ...,' 1\".. 'aIr

    ~IVit.h:r" ~2t"}~mtht,," . lnC'r mtn{{):

    bubbk >Heam .n .lium,". Tellon appl wafer rotale, II r ;mlO, on/off 2 1

    (100) SI. 0~5-mm thICk wafers

    For bulk Ihlnning, followed by (hl

    For final thinning

    S. power device wafers (pnp); elch for I() >ec

    n' and p-Iype Si except high cone. B doped poly Si epi Si (! 00), nand p doped

    bulk Si (100), low doped

    pol} Si

    epi Si (1001. nand [' doped bulk S, 1100). 10;; doped

    1111), (100)' el'l Si. n.[' 2, 10": 1R'

    (III) Si. n'lype 2 !I-em: ~5'

    Soogie-ery stal Si nt,pe 0.~-0.6 n'cm plype 0.4 !l-cm [',Iype ISO,em

    ! Ternary diagrams of isoetch rale contours and resultant geometry versus composition of etchants: allows selection of optimal cond, for any app!. (see Figs, 7-10)

    Graphs of reaction rales versus I IT, for several compositions: En ranging from 4 to 20 kcal/mol

    General etching: no difference in etch rates for n. p-. n-p-type

    0,25 ILm/min} 0.60 ILmlmin rotation effects 0,92 ILm / mm 5.0 ILm/min} best control with

    JO I'm/min good results using -20 ILm,lmin

  • Table III (Continued)

    No. Etchant Substrate. etching condition, ApphcatlOn. etch rate. remarb Refs.

    13 15HNO,. 5CH,COOH. 2HF Si For general etching [270] (planar etch)

    14 110 ml CH,COOH. 100 ml Si For general etching [9J HNO,. 50 ml HF, 3 g I, (iodine etch)

    15 30HNO" 20HF, INa,HPO, Si For general etching: produces superior [271] (2%) surface finish

    16 30HNOJ , 25CHJ COOH. 20HF. Si For polishing [271] I Na,HPO, (2%)

    17 9HNOJ , IHF (white etch) Si: 15 sec For polishing [272] ....

    ....

    c 18 14CH,COOH, 10HF, 5HNOJ Si: 0.5-3 min For pohshing [273]

    19 5HNO" 3HF, 3CH,COOH Si: 2-3 min For slow polishing (sfi!(htly preferential [274] for crystal defects)

    20 5HNO" 3HF, 3CHJ COOH, (III), (100) Si; 2-3 min For fast polishing; 25 I'm/min; ("chemi [274] O.06Br,; (CP-4) cal polish No.4")

    21 10 ml H,O, (33%), 3.7 g NH,F Si For polishing; I !Lm/14 min [275]

    22 1000 ml H,O, 100 g NH,F. 2 ml H,O,

    Si For pattern etching; low degree of undercutting of photoresist mask because of

    [276] r""\

    nearly neutral pH

    23 95HNOJ .5HF Si wafer attached to Teflon holder; ro- For wafer thinning [277. 278] tating

    24 9HNO"IHF Si: Jet techmque For wafer thinmng microscopy [261]

    2' "'MOB 14

  • Table TV (Colftilfu,d)

    No Etehan! Suhs!rate. etching condition, Application. etch rate. remark, Refs. ..~-..~---.------~

    5 100 ml H,O, no vol %1. 8 g NaOH

    Ge; 70" Freshly prepared After I hr

    For controlled etching .5 ,um/min 1.25 I'mlmin

    [290]

    6 5HNO,. 3HF. 3CH,COOH with 0.1)6 Br, (CP4)

    (100). (Ill) Ge; 1.5 min for general etching. 2:2 min for polishing

    For general etching and polishing; slightly preferential for crystal defects

    [288.291. 292J

    .....

    .....

    'J 7

    8

    5HNO,. 3HF. 3CH,COOH (CP4A. CP-6. CP-8)

    II ml CH,COOH with 30 mg I, dissolved. 10 ml HNO,. 5 ml HF (iodine etch AI

    Ge; 2:l-70"; 2-3 min

    (100). till) Ge; 4 min

    For slow polishing; much slower than CP4 at 23

    For general etching and polishing; better than CP4 for (100) Ge

    1288.293]

    (294]

    9 INaOCI (10%). IOH,O

    9HF.IHNO,

    (100). (Ill) Ge; 40".40 min or as required for thinning

    Ge; jet technique

    For general etching and thmning

    For small-area thinning for electron microscopy

    [295]

    [261] 0.....~-II NaOCI. H,O Ge; warm. float specimen For thinning slices for electron micros

    scopy [279]

    t'1 .,liT.. ... fig' .. .. -

    U.8 I117"~--

    T.bl

  • Table V (Continued I

    No

    6

    EI,hanl

    Hydrazine-H,O

    7

    (a) 65 hydraztne. 35H,0 (bl 80 hydrazine. 20H,0 17 ml ethylene d,amine. 8 ml

    H,O. 3 g pyrocatechol

    8 Tetramethylammonium hydrox ide. or trimethyl2hydroxy ethyl ammonlUm hydroxide (0,5 WI %1

    9 4 M NH.F-I M. Cu(NO,l,

    10 100 g KOH m 100 ml H,O

    II 6LI ....,t 'ff H,O. 23.4 ....1 'h KOH, 1:1,.' wI '/( iwpn>panol

    Sut>Slrale, etching cond,tlOn,

    (1001 SI. 3-5 ncmc I()()". reflux

    n.p-types: 0.001-100 11cm reSISL: N, bubbling. reflux. 110" + =I' (100) (110) (Ill) SIO,

    (100). (l11l Si: 80-90" (100) n Iype (100) p Type

    (Ill) n type

    Thermal SiO,

    CVD SiO,

    n-type S,. 10-100 Ocm: 22"

    (100) (110)

    (Ill)

    (110) Si. SiO, masked: boiling

    (110l moat etching (III). tlOO) Si bulk

    ("", d"""d wllh J\ . P. St.. a

    !IUO,. do'....d "'11" J\ . P. St>

    Applicallon. etch rate. remarh Ref,

    For shaping Si bulk and films: higher etch rate in< 100> direction than along

    [310J

    1,6 /-Lm/min: V groove patterns 0,7 /-Lm/min: Hatbottom patterns

    For shaping of Si films [311J

    50/-Lm/hr 30/-Lm/hr

    3/-Lm/hr -200 A/hr. suitahle as etch mask

    For alkali-free SI etching 3600 A/min 2300 A/min

    163 A/min 3 A/min. suitable as etch mask 7 A/min. suitable as etch mask

    [312]

    For very high resolution pallerning: an isotropic displacement etching 0,185 /-Lm/min 0.1l 7 /-L m/min 0.012/-Lm/min

    [298]

    For vertical deep etching of moats (110) Si 50 jJm/6 min

    in 1301]

    For structural etching. independent of reslSuvity 0.97 I'm/min 0,04 .,mlm,n lhul no! r,>f' 8 df'C'di

    [304J

    ,-". f_J"rln,( hrmlt uJ und ld,IITltl,. (,h('mi, all:../("hiffK 51

    No

    ~'ff HF

    Etchant

    ""...

    ~ 5

  • Table VI (Contillu~d)

    No. Etchant Substrate, etching conditions Application, results, remarks Refs.

    5 5 wI % HF (2.5 N;

    6 5%HF

    7 Ethylene glycol with 0.04 N KNO., 2.5% H,O. 1-2 g/liler AI(NO,h 9H,0

    ~"

    8 63.3 wt % H,O, 23.4 wt % KOH. 13.3 wi % isopropanol

    9 KOH (4 NI

    (III), (100) Si; Pt-mesh cath .. 2 em sep., 20", N, bubbling. darkness n' 0.001-0.01 n-em: 65-150 mA/cml.

    3.5-6 V p 0.01-1 U-cm: 120-160 mA/eml. 4 V

    (111). (1l0J.(100) Si.n-,N" > 2 x 10"1 em'; conditions as In Ref. 269 -130 rnA/em'. 10 V. No> 2 x 10"/ eml ;

  • Table VII

    Gas and Vapor Phase Etchin?' Si. Ge

    No. Etchant Substrate, etching conditions Application, etch rate, remarh Refs.

    SF.-H, (Q.006...().Q2 vol % SF,)

    2 SF.-H, 00-'-10-' atm SF,)

    3 SF.-H, or He (I(}"-Io-' atm SF.)

    --.

    4 HCI-H, 1 I .3-6 vol % Hell

    HCI-H, (3.5-S.4 mole % HCIl

    (111) Si,p type, 0.004. 30 O-cm, B doped polished and BHF. etched: 950-1100", 7.5-20 liter /min-' H. 950"C. 0.0110/,; SF,. 7.5 liter /mm H, II000C. 0.(}2';1, SF. 10 liter/min H,

    (111) Si, poli5hed; IO~O-I 100" quam tube reactor, - 100 liter/min H, (-25 em!see linear velocityl'

    1050"C. 2 x HI" atm SF.

    1050"C, 1 x 10-' atm SF,

    II II) Si. p-type. 50 O-cm: 7.5 cm-diameter tube reactor. 1060-1100", linear velocity 100 em/sec H" He

    1060', 2 x 10-' aIm SF.

    1060', I x 10-' atm SF.

    1III) Si. lapped or polished; 1180-1275c , quar1z tube reaclor 127Y. 2% HCI 1275".3% HCI 1275". 56c;;. HCI

    EPI Si.n-Iype: quar1l lUbe reactor. 11001350" 1125-1350". ).5 mole c;;. HCI 117~-I3"~. ~ .. mule 'h HCI

    11m... I... ....

    /:, HCI-H, i 1.5-5 mole % Hell

    HCI-H. 1

  • II

    Table VII (ConJinlltd) -----.-~--------------------------------------

    No. Etchant

    CI,-He (0.2% Cl,)

    12 H,S-H,. H,O-H,. HCI-H,

    J3 HCI-H, (15% HCI)

    14 HI-H,

    15 H,-H,O

    Substrate. etching conditions

    (Ill) Si. low resist. p-type. polished: quartz tube reactor. 1000-1100"

    1000"

    1040"

    1100"

    (1IBSi. polished: horiz. reactor. 100hter,' min H, 1=25 cm/secl. 2: 1100-1200". 1200". H,S, ~.5 x 10-3 atm 1200". H,O. 1.3 x Itr' aim 1200", HCI, 1.0 x Itr' atm

    (III) Ge, no, Po, pO_type. precleaned quanz tube reactor, 820" --830":

    I 1.4 liter/min HCI-H" I 10 sec 12.2 liter/min HCI-H" 110 sec

    (211) Ge. p-type 0.01 !I-em: preetched with NaOCI soln.; 36 mm i.d. quartz tube reactor, 911, I, 140 cm/min linear velocity 700 em/min linear velocity

    (11),(110). (1001 Ge,n-andp-type, pre etched with I, etch; 2.5 and 5.S-em i.d. quartz tube reactors. 900".26 Torr H,O partial pressure. 4 liter/min H,-H,O. 30 mlO

    Application. etch rate. remarks Refs.

    For rapid polishing: smooth finish between 1000-1100" and", 1% CI, . Preferential < 1000" 0.73 j.Lm/min 0.87 j.Lm/min 1.0 j.Lm/min

    For >ery rapid polishing. Faster and smoother than H,O or HCI 15.1 j.Lm/min 0.071 j.Lm/min 0.183 j.Lm/min

    For polish etching (Ill) Ge; etch rate indep. of temp. >800": mirror bright. optically flat surface; (100) Ge dev. square pits 5 I'm/1I0 see

    J3 j.Lm/ 110 sec For polish etching (211) Ge; temperature

    most critical for smoothness

    23 mg/5 min for 1.39 em' 39 mg/5 min for 1.39 em'

    For polish etching; clean, structureless surfaces: large exeess H, (but not Ar) impedes etch rate: superior to H,-H,S under similar conditions

    [373]

    (374J

    [379J

    [371]

    o

    [380]

    .,m tim$[ III'. III 1...Wlr TI' ,III. 1I'.Sf' If 1lfl IU. I J .' 1 ' 1.1._ .' ...f'-

    D. Compound Semiconductors Table VIII

    Group IV Compound SemlconducfOrs: SiC

    No Etchan!

    KOH or NaOH

    N a.,0,

    ....

    4

    Na.,O2B,O,IOH,O (borax)

    H,

    26';; O,-ot;( CI, in Ar

    Substrate. etching c600", 900' for 2 min

    SiC: fusion between 350" and 900"

    500"

    900"

    S,C: fusion at I 000" for 2 mtn

    S,c' hexagonal; horiz. quartz lUbe fur nace. gas-phase. 2.5 liter/min (8.5 em/sec linear velOCIty) 1600" 1650' 1700 1750'

    /:l-SiC crystal. 900'

    Solution-grown cry'tah

    EpI crystals. undored

    Applications, etch rate, remarks Refs. ~---.----

    General etching [395] General etching: rapid etching at the higher tem [395]

    perature 0.1 mg/cm'min

    J mg/cm2~min

    General etchtng: excess borax removed with [395J NaOH soln

    Preparing smooth SiC crystal surfaces, nonpref [399J erential etch

    Face A: 0.) j.Lm/min: Face B: 0.2.' ;.tmlmin

    1.5;.tm 'mln: 0.8 j.Lm/min

    ;.tm mIn. 0.8 j.Lm/min

    4 j.Lm.mln: 2 Jim/min

    Pat!em etching {:iSiC: similar to a-SiC, (I I I Iface smooth but with etch pits. (III i ;3%J face no pHS. thermal oxide etch mask 03-0.5 j.Lm/min 002 ;.tmmlO

  • Table IX

    Graul' ffl-V Compound Semiconductors' GaA.'

    Substrate. etching cond,tions Application. eteh rate. remarks Refs. No. Etchant -------~.~.--.---.-.--------.----.----~-

    Fast etching. - 3 I'm/min [406J4H,SO. IH,D,. IH,O (100) GaAs: 50" Slow etching. 0.2 I'm/min [406J2 I~H (I M): tH.O.(0.76M) (100) GaAs: 30"

    (100), () Ii )GaAs, Cr doped: rotating Jet polishing. nonpreferential. -8 I'm/min: [266]28r, . 98CH,OH slices. jet nozzle smooth. flat

    (100). (1)), Ii jj)GaAs: rotating slices Planar polishing. nonpreferential, -18 I'm/hr [404]4 700H,O,. INH.OH (29.5%) on polishing pad

    POOl. {l1l)A. {111)8 GaAs: freely rotat Planar polishing. nonpreferential. - 25 I'm/3 hr [39O.412J ()5 .!OH,O" INaOC! ing sUees on polishing pad ;,""0

    GaAs; 60". polishing pad Planar polishing. - I IJ.m/5 min [412]SA 3H,SO., IH,O, (33%). IH,O {III} GaAs. 0.13 fl-cm. n-type High polishing. 0.37 mg/Crtr-mlO [410J6 8 glycerol. lHC!, IHNO,

    {lOO) GaAs, epi Structural etching. SiD, mask. 8 I'm/min. lateral [410J7 8H,O" IH,SO,. 1 H20

    dis\. depends on mask alignment

    99 WI '7r CH,OH. I wt'7r Br, {I/OI. {III}B. 0001. {l1I)A GaA:, Preferential structural etching. etch rate I 110) '" (403J8 II !I)B .,. {I 001 >. IIIIIA

    '11 rn.. ..

    9 973H,O. 20NH.OH. 7H,O, (111)8. (100). (\ I J)A G".}" Selecllv., r.,moval through SiO, mash. flat pro. [4091

    files. reduced underculling(111)8 0.20 IJ.m/min(100) O.1 2 IJ.m/min(l1I)A 0.037 IJ.m/min

    10 10 citric acid (50 wt % aq. sol.), IIIlIB. (100). (J lilA GaAs Preferential etching through photoresist masks. [418JIH,O, ftat ~oltomed holes. no attack of resist. Etch rates (11118 (100) (1l1lA

    II 3CH,OH. IH,PO,. IH,O, [IIOJ.IIOO), Ga [IIIJ GaAs Preferential structural etching. - 2 I'm/min. ex (415) cept Gar Ill] reduced twofold

    12 1-20Br, . 99-80CH,OH GaA~ (for solution or pad etching) Polishing [402.412J J3 3HNO,. 2H,O. 1HF GaAs Rapid polish etching

    ..

    [419J

    J, 14 2HCl. 2H,O. IH~OJ (111)GaAs.lOmin~ General etching of (i j j) plane [420J

    1~ .~~aOH 15%)' IH,O, GaA". 5 min Fast etching. 1O-15IJ.m.min 1421J

    16 8-12A&~O" 11'7r). 5HNO.]. (1111. (IIi) GaA, EtChing both till) and (j j i ) planes [419JIHF

    17 75H,O. 20H,SO,. SH,O, GaAs Polish etching 1423J

    18 40HCI. 4H,O,. IH.O GaAs. jet etching. 20" Thinning specimen:, for electron microscopy 14221

    (Continued,

  • T&ble IX (Colltillll~d)

    No. Etchant Substrate. etching conditions Application. etch rate. remarks Refs.

    19 25HCI0. 75CH,COOH GaAs electrolytic. gently flowing from Thinning specimens for electron microscopy [424J an orifice above sample at 42 V

    20 10--40% KOH or NaOH GaAs. p type and heavily doped n type. Electropolishing to mirror-smooth surfaces [428J electrolytic. 1-5 A/cm'

    21 10% KOH (100)' (110). (III) GaAs. n type. electro Anodic dissolution [426J lytic. flowing 10% KOH

    22 3 M NaOH. (100) GaAs. p type. spray electrolytic. Selective removal of p-type substrate leaving [429J 100 mA/cm' n-type epi GaAs or GaAs,.,P, ~ . 23 0.025M NaOH-O.OOI M EDTA (100). (III) Ga. (1111 As GaAs n type; Electropolishing (430J

    electrolytic. illumination

    24 HCI-H,O GaAs. n type. electrolytic Controlled thinning [431]

    25 0.01-1 N HNO, (100) GaAs. n type; electrolytic. 10-20 Controlled electroetching [432] mA/cm'. 2-3 V

    26 H,. AsH,. HC); (900. 3. 2 (100), (III) GaAs. Te. Zn. Si. Cr doped; Substrate polishing prior to epitaxy. 7-11 (434] cm'/min) vapor phase. 9000 /Lm/min. nonpreferential. specular 0

    27 IOOCH,OH.IBr, GaAs General etching; 8 /Lm/hr

    28 5H,SO. IH,0 GaAs Polishing; 25 /Lm/hr

    29 70H,O. 20H,O,. 10 fonnic ac.d GaAs Surface cleanup

    30 95CH,OH. 58r, (100) GaAs. n-type; CVD SiO, as etch Preferential etching of (32) Ga plane (416) ma,k,

    If r I j' t 11. .~...._ .., ,..,p m [.., ..'..' 1......... ...........................................~~...

    ""'" rlIIJ".IUII." _ '"

    ...

    T&ble X

    Group /I/-\' Compound Semiconductors: GaP

    No. Etchant Substrate. etching conditions Application. etch rate. remarks Refs. '-'. 1-20% Br, . 99-80% CH,OH GaP; (solution

    niques) or Pelion cloth tech Gener}l.l etching and polishing (402J

    ..

    2

    4

    6

    R

    9

    1% Br. 99'7r- CH,OH

    2HCI. IHNO". IH,O

    2HCI. 2H,0. I HNO"

    2HCI. 2H,O. I HNO,

    Aqua reg.a

    2HCI. 2H,SO. 2H,O. IHNO"

    3H,SO. IH,O, (33'/(-). IH,O

    CH,OH sal. with Br,. I H,PO. freshly mIXed

    GaP

    {III) GaP; hot P (J II) GaP

    (III). (ijj). (100) GaP; 60".1-2 min (ijj) GaP

    P {III} GaP; 5 min cold. then 50. or 10 sec etching on Pelion cloth

    GaP; 60". 5 min

    (II J) GaP; chem-mech. technrque wafer rotates face down

    Polishing. highest-quality surface; -0.25 /Lm/min

    Polishing {III} surface Polishing P {III} surface Polishing

    Groove and pattern etching; SiO, mask

    Polishing P {III} surface; Ga {III} face pitting; etch rate depends on Te carrier conc.

    Surface etching for saw damage removal; I /Lm/5 min etches p-type preferentially

    Work damage remo\al. prior to no 10 elch

    120)

    1438) (439]

    [442]

    1441]

    1440J

    [443.444)

    1436.4311

    10

    II

    5H,SO. IH,O,. IH,O

    Etchant no. 9

    ( III) GaP; 80' ..' mrn

    ( III ) GaP. 50'

    SunSlrale preparation for ep. growth. after no. 9 and before no. II etch; 0.6 /Lm. mm optimal

    Substrate final etch for epi growth; Immediatel} after no. 10 etch; 1.5 /Lm;min optimal

    1431)

    1437)

    ------- --------

    (ConlinUl'd I

    - '''.-.~-~~, ....,...~--~.,,-'~,- -

  • Table X (Continued,

    No. Etcnant Substrate, etcnlng conditions Application, etch rate, remark

  • Table XI (Continued)

    Matenal No. Etchant CondItion, Application. etch rate. remarks Reh .~---------- ..-.-.~.--.--.-~-.~.--~.

    InAs 13 Etchant no. 1\ Polishing (II J) and ell il faces (460] 14 5HNo,. 3HF. 3CH,CC)()H. 0.06 Br, General etching (458J

    (CP4) 15 75HNO,. UHF. 15CH,COOH. 0.06 Br, 55' Etching d i lJ face; etch pits on (\ II) face [458J 16 HCI 75' General etd,ng: 5 mg/cm' min 1457. 459J 17 0.4 M Fe" -WHCI General etching (452]

    ....

    v. 0< 18 99.6 ml CH,COOH. 0.4 g Br, General polishing 1423J

    InP 19 99CH,OH. IBr"or9OCH,OH, IOBr, General polishing {402,46IJ 20 IHCI.IHNO, Etching (100) face: hillocks on (l j h face [46IJ

    inSb 21 I, . CH,OH (concentration not specified) General polishing (402J 22 Etchant no. 17 General etching [452] 23 IHF, IHNO, 2-5 sec Polishing (ii i) and (110) faces: no etch [423.465] 0

    ..'

    ing on (III) or (100) faces ":.

  • Table XII (Continued)

    Malenai --rmlnmduc(on

    Malerial No. Elchant Condition, Application, etch rale. remarks Refs.

    Ag,Se 5H,SO., lH,O, 50", ~ min; rinse in EDTA solution, then Polishing of some orientations 1479J H 20

    2 2KOH ,sat.), 2 ethylene glycol. 80", 2 min following damage removal Polishing 14791 IH,O, with etchant no. I

    Ai;le ~ 3!'iH.OH.2H,O, Remove film by brushing under water Polishing of some onenta!ions 1479] Bi,Se, 4 IH,O, tHCI Damaged layer;, following much pol Polishing and removal of work 1470J

    ishing damaged layers 2HNO"IHCI May be diluted with H20 Cleaning and etching 1470, 481]

    ....

    BI

  • Table XIII iCollrillutd)

    Material ","0. Etchant ConditIons Applications. etch rate. remarks Refs.

    PbS 15 30HCI. 10HNO,. ICH,COOH 50". few min. CH,COOH

    then rinse with 10% Polishing [489]

    16 HNO, 70" Rapid etching [489]

    PbSe 17 5KOH (45%). 5 ethylene glycol. IH,O,

    Electrolytic: add H,O, during etching to maintain rate: remove stains with 5()'}f CH,COOH

    Thinning specimens for electron transmission microscopy

    [490]

    18 Etchant no. 17 40".3 min Poli.hino [480]

    PbTe 19

    20

    45 ml H,O. 35 ml glycerol. 20 ml C,H,OH. 20 g KOH

    Etchant no. 19

    Electrolytic: 4-6 V. 0.2 A/em'

    Electrolytic: 10 V: rinse in C,H,OH

    Thinning specimens for electron transmission microscopy

    Polishing

    [493]

    1492) (494)

    ~;:>b,_rSnrSe 21 10 ethylene glycol. 10KOH (sat. aq. soln. at 25). I H,O,

    Felt covered etch ant

    wheel saturated with Polishing [495) [496]

    Pb,_,Sn,Te 22 Etchant no. 19 Electrolytic: 10 V. rinse in C,H,OH Polishing [492) [494)

    23 95HBr. 5Sr, 1-2 min, rinse many times with C,H,OH followed with slight etching with no. 22

    Polishing: faster than etchant no. 22 [494)

    sr.", 24 3-IOH,O.IHCI Electrolytic. 5-40 mA/cm' Pattern mask

    precision etching: SiO, used: 1600 Almin at 20

    [498J

    mA/cm'

    25 HCI. Zn powder Zn powder in photoresist in Ref. 500 Paltern etching /497.499.

    500) SnO,

    Sb doped 26 HC!. Zn powder Pattern etchinB 150IJ

    E. Conductors

    Table XI'\'

    Elemental Metal.\

    Etch rate or Etching conditions etch time Remarks Refs.

    Aluminum

    4H,PO,. 4CH"COOH. lHNO,. IH,O 350 A/mm Polishing etch: contact to noble metals is possible without increases in etch rate and undercutting

    [57.504'J

    2 75 /? Na,CO,. 35 g Na,PO,'12H,O, 16 g K,Fe(CN) . 0.5 liter H,O

    1300 A/min Polishing etch: contact to noble metals is possible without increase in etch rate and undercutting

    [57]

    16-J9H,PO. IHNO,. 0-4H,O: 40": stirring 1500-2500 A/min Gas evolution OCcurs [14J 4

    5

    IHCIO,. I!CH,CO~:O

    74.IH,PO,. 18.5H,O. 7.3HNO,: 500 3 "mimin

    9000 A/min (29] [46*.505]

    6

    7

    8

    9

    1 HC!. 4H,O: 8 I"mmm No H, j, e\Jlved 158]

    II 1 Electn> Glo 100 (Electro Glo Co .. ChIcago). ~H,PO . 79'. 7-10 \'. 0.06 A/cm'. PI; cathode

    ElectrOChemical polish: excellent polishing occurs (533J

    12 Olher eI'Ir(Kheml,al etche, /291 ~( dnlttrlU'J j

    ""~."~---~~....,..,.-~... - -""-'~-'--"""-~-"""""'''''' -----..,,~."'" - - _H"'''''".-.~~...~"~~,,_.'W __

  • Table XIV (Continued)

    Elch ralr or Etchl ng conditIons etch time Remark, Refs

    ~".----.

    Antimony

    Aqua regIa or hot H,SO. 1469J

    2 5

  • -- - ---

    2

    Table XlV (tonti",..d)

    Etch rate or Etchmg conditIo", etch time Remark> Refs.

    Cobalt

    I HC!, Ic.,H,OH: 8-9 V. 250 A/dTn'. stainless steel 0.5-1.5 min Electrochemical polish: bluish-green anodic film is sol- [29J uble in H,O. gIve, polished surface WIth slight grain cathode boundary delineatIon

    ElectrochemIcal pohsh: sohd black film forms which is (29]H,PO. (98%). \- 1.5 V. 1-2 A/dm'. Co cathode 5-10 min removed by WIping WIth cotton wool

    1539. 540JOther electrochemical etc he;

    Copper .~--.-.~~~-----

    ...

    '" 0' FeCI,. 42"Be; 49" 50 I'm/min Use more dilute solution, for slower etching 114". 15. 50s". 509'J

    2 20-30% H,SO. 10-20% crO, or K,Cr.O,: 49" 37 I'm/min (14". 15.509"] 1 g (NH,.),S,O,. 3 ml H,O; 32-49" 25 I'm/min Addition of 5 ppm Hg as HgCI., activates etehant at [15.513".

    lower temperatures 541'-543'J

    4 5HNO,. 5CH,COOH. 2H,SO,: dilute with H,O as Etches Cu and Cubased alloys at same rate as Ni and (506J

    -.:,

    desired

    g KI. I g 1,.4 ml H2 0: dip into etch. rinse. remove

    N i-based alloys

    Rapid etch. but undercutting is limited by formation of [14J residue with Neutra-clean (Shipley Co.. Newton. an insoluble Cu compound at line edges Mas,.)

    6 4HNO,. IIH,PO, (98%). 5CH,COOH. 6O-7if 1-2 min Polishing etch (29J 7 2H,PO.t98%I. IH,O; 1.5-2 V. 6-8 A/dm'. Cu cathode 15-30 mm Electrochemical polish [4".29.544" .

    545*'

    " ()t"~t clf',;lru,.-hcml\",,1 ch.:hf'''' I~l

    fa .RIP 'WI1 Til ., ..

    ~-~-.-.~-.~-.------~-------------~-.----~~---.---------~------

    Gallium

    Mineral acids or alkali solutions Must be processed below meltmg point (469J

    Gold --'---~~~~~---~~--~~-~-----'-'-'-~--------------~~'------~-------.-.-.----,.-.,.,

    )-, 4 g KL I g I" 40 ml H,O 0.5-1 I'm/min Better contr~1 of line edges than in more concentnlled [14.15.51. solution: solution is opaque. so removal from solu, tion to observe end point is required

    2 3HCL IHNO,: 32-38' 25-50 I'm/min (IS] NaCN. H,O" H,O mixtures (unspecified composition) [14, 15J

    4 0.5 g 1,.2 g NH,.L 10 ml H,O. 15 ml c.,H,OH: 2if 700 A/min Converts the surface of an underlying Ag layer to the [5101 +

    '"

    iodide. thus preventing undercutting --' 0.4 M K"Fe(CN).. 0,2 M KCN. 0.1 M KOH 600 A/min Fresh solution must be used: no a,t!ack on Pd is ob [58]

    served.

    6 tOO ml H,.O. 0.5-10 ml HCL 10-JO g NaCl: 4-5 V. Electrochemical elch which retains bright surface: only 1547] 6.5-2 A/dm'. Mo cathode: 20-40" small amount Cl, evolved

    7 Other electrOChemical etches 129.548]

    Hafnium

    I-c

  • Table X"" (Corlli,.."d,

    Etching condition~ Etch rate or etch lime Remarh Refs,

    Indium

    Mineral acid, [469J

    IHNO,. 3CH,OH; 40-50 V, 30 A/dm', stainless steel cathode: cool bath

    1-2 min Electrochemical polish [291

    Iron

    3HNO" 7HCI, 30H,0: 6O-7!r 2-3 min Dense brown viscous layer forms on surface: layer is soluble in solution

    [29J

    .j. C>O<

    2

    3

    4

    -I()o/( KAI(SO,l.' 12H,O 3 liter 1O'7c HNO" 0,3 m'/hr 0, injected: 3!r 1 HCIO" 2OCH,COOH; 45-60 V. 40-80 A/dm'. stain less steel cathode

    30 "m/min

    15-30 sec

    Slowly soluble

    Etches iron plate smoothly: 0, removes passive film

    Electrochemical polish; solid film sometimes forms on the surface during washing; removable with dil. HF

    [469J

    [550J [29J

    5 Other electrochemical polishes . ,_.

    [29J

    Lead -----------,-----,-------,-,,-,---'-'------'-"'----"------,-,------'----------

    FeC~ 36-42"Be: 43-54" [IS. 513J .-~

    9FeCI, 42"Be, IHCI 2!rBe: 43-49"

    IHtO. 4CH,COOH Periods 00-10 sec Alternate with immersion in a soln. of 10 g molybdic acid and 140 ml NH,OH in 240ml H,O to which 60 ml HNO, is finally added

    [ 15]

    (29J

    4

    5

    6

    IHNO,.19H,O

    35HCIO,. 63(CH,CO),0. 20H,0:

  • ?",.

    Elching cond.tlons

    T.b'" xrv (Co"(H,u~d)

    Elch fale or etch t.me

    Molybdenum (Continuedl

    -------.... ...~-~--..-------

    Remarh Reh.

    ..

    ....,

    o

    4

    6

    7

    20011 K,[Fe(CN),,),2011 NaOH, 3-3.5 g sodium oxalate. add H,O 10 make I liter

    38H,PO,. 15HNO" 3OCH,COOH, 75H,O

    IH,SO,. 7CH,OH. 80-120 A/dm'. stainless steel cath ode; no agilation

    100 ml H,PO,. 20 ml H,SO. 40 ml H,O. 0.25 II MoO,; 7(1'.8 V. 0.6-0.9 A/cm'. slainless sleel. graphite or PI cathode; stlmng

    Other etches

    -l/tm/min

    0.5/t m/ mrn

    I min

    9.4/tm/mm

    Neplunium

    Also usable as electrochem etch usinll stainless cathode al 6 V; pholoresisl masks applicable

    Photoresist mask can be used

    Electrochen"ca' polish

    Electrochemical pohsh. supenor surface finish

    steel [14. 15.51'. 507'.5521

    1518] {29]

    1553}

    [29. 38. 57. 554-5561

    HCI 1557/

    5HNO,. 5CH,COOH. 2H,SO. H,O as desired

    IHNO,. IHCI. 3H,O

    FeCI, 42-49"B';; 43-54"

    4

    I>

    3HNO,. IH,SO,. I H,PO, 198%). 5CH,COOH; 85-95" IHNO,. IH,O; or 9H,PO" IHNO,: or 9OH,PO,.

    15HNO,. 4HCL IH,O

    IOH,So,. 'OH,O,. H,PO,. '2 min .... ",m/m1n l:Jel"lrtK:ht 1~.lil.1 rulnh

    15~. 5601

    129J

    NiobIum

    2 Lacue acid. IH,SO . IHF 15~20 V. PI cathode. sIll 5-10 mm

    nng EleClrochemical polish 129]

    7HF. 7HNO,. 26H,O; 49". 12-20 V. 20~34 A/drn', PI cathode Electrochemical etch 14(9) /_.,.

    9H,SO., IHF: H-45'. 50 V, 2 A/drn'. PI Or carbon 5-10 min "" cathode Electrochem. etch; temp. nses during use; cool bath [29]

    ~--~-..----~----~----~----Osmium

    Aqua regia

    .. 1557)

    .... Palladium IHCI. 10HNO,. IOCH,COOH 1000 Aim,"

    Aqua reg.a 15611

    1513) Platinum

    SH,O. 7HCI. I HNO,: 85' 400-500 AImln 2 f562. 563')Aqua regIa: precede etchin!! by 30 sec ImmersIOn in HF

    EtCh tIme' mlled because photoreSIst mask" de 114. 507i strayed

    3 M HCI; '0.3-+ 1.4 V versus SeE. modIfied Inangu -1000 Almln lar waveform -600 Hz: magneuc sl.mng Electrochem. elch: good resolu[lOn: either po, or neg 15141

    photoreslS[' usable Plutonium

    I H,PO.I98%1. 1 dieth}lene glvcol. 5 V,

  • Table XIV (Co"ti"u~d) ~~-.--.---- .~---~--.-.-~~-~-.~.-----

    Etch rate or Etchmg conditIOns "tch time Remarks Ref,.

    Polonium

    Dilute mineral acid, [557J

    Potassium

    C,H,OH or iCH,),CHOH 3 sec (ethanoB or 10 SeC (I,opropanol)

    Brilliant. smooth surface obtained [551]

    Praesiodymium

    ...

    .:: Mineral acid, -~-~.--~-.~----.------~~------ -------

    Rhenium

    [557]

    Dilute HNO, [557]

    Rhodium

    3 M HC!. -0.3- + 1.4 V versus SCE. modified triangu ElectTOchem. etch; I I'm line spacings ofRh films over [514] lar waveform -600 Hz; magnetic stirring 5000 ATi on 5i dearly resolved

    Ruthenium --------------------~.-.---------------

    Fused alkalis [557]

    Samarium

    Minual acids (557J

    Sdenium

    H,Stl, ~(' .I'M' tf" U undt'f C'k'mC"nl.1 wom't.'undu,ton ,"''11

    ..

    .~---~--~.~.-.----

    Silver

    11 g Fe(NO,)" 9 ml H,O; 44-49" 20 IJ.m/mm Photoresist mask can be used [14*, 15, 507*J 2 5-9HNO,.1-5H,O;39-49' 12-251J.m/min 114*,15.517*J

    3 35 g AgCN, 37 g KCN, 38 g K,CO,. 100 ml H,O: 2.5- 10 min Electrochem. etch: best polishing in region of voltage (29) 3.0 V. 1 Alent, Ag cathode: ,10.... stirring and currel1t instability

    4 3HNO". 19H,0: 2 V, ,[ainle" steel cathode ElectrOChemical etch [507) 5 Kl-I, etches listed for Cu and Ag O.3-llJ.m!sec Immersion followed by H,O rinse [14]

    60 A/sec Useful for pattern etching with photoresist mask; rinse [512] quickly after etching

    6 4(,H,OH. INH.OH, IH,O,

    .... Sodium-'

    CH,tCH,),CH,OH (nonyl alcohol) 30 sec Brilliant. smooth surface [551)

    Stronlium

    Liquid ammonia [557]

    Tanlalum

    2

    9NaOH or KOH 00'). IH,O,: heal alka" to 9(t . Ihen add H,O,

    5H,SO. 2HNO,. 2HF

    1-2HNO,. IHF. 1-2H,0

    100(l-~OO(l A, min

    5-20 sec

    4 9H,SO. IHf. ,(-4' . 50 \'. cath,>d,

    A urn 1'1 "1 carb,," '-1(1 rnln

    Metal (e.g.. Au) rna'\. muq be used: very httle under cutting: etche, T..,O, and Ta"- al same rale 3, Ta

    116~, 16~J

    POlishing elch [29. 522. 5~~! H:O rna) be omllled ft>r fasler elch. espeCially if film coniaim oxygen and reslsh etching: fasler etch reduce ... re" ... t al1a('~

    (14. 5 HI

    Ele.:truchernl,'al etch temp of solUlion n,e, dunng u...e and 11 rna! he nece ......ar~ tp .cool hath

    129;

    - '. -~'-"'"~-""".=-,;

    -4

  • 2

    Tabl., Xf\' (Colltlllu,d)

    Etch ratr or Etching cond.tion;. rtch time Remarks Refs,

    Tellurium

    2HND,,3H,O [513] 240 g (NH.~S,o,.. bring to I liter with H,O [513J

    Terbium

    M ine ... 1 acid~ [557]

    Thallium

    ...

    ...,

    .to.

    HND, or H,SO,

    Thonum

    --------',----~----

    [557]

    14CH,COOH, 4HCIO" IH,O; -10".60 A/drn'. stain- 7-12 sec Electrochemical polish [29] less steel cathode

    Tin

    FeCI, 36-42"B':; 32-54' ~--'--'--~-

    [15, 513) 2 IHNO,,49C,H,OH [534] (' j .iCIO,: " 12 fLm/min Photor",ist mllsk may be used 114, 15, 507] 2 7H,li, 21iNII,., IH~, n II,..m/mln PhOI",.,..., maoa. m.~ be uW'd 114,15.5071

    ..,

    -

    tllO mll',H.OH. 2U ml ,.butyl ",,,,,hoI. t2 II All'l, . ~ II I-JeC;1fochcml4.:.1 fKlh,.h 14m) zne!, : 30-50 V, 12 A/dm'. ~Utinle" .Ied cathode

    4 3HCIO,. 5OCH.COOH; 200. 30 V, 30-40 A/dm'. Ti 2 min E)ectrochem. etch: anode to cathode distance about 129] cathode 3 cm

    5 Other electrochemical etches [29. 564, 5651 6 See Zirconium etch no. [29]

    Tungsten ~--~~-----~ -------,~,-------.~--.--~---~~----.---.------'----.~~-.---~~-~--~~~-~---.~-.-~--.~-----,-

    34 g KH,PO,. 13.4 g KOH, 33 g K,FeICN).. H,O to 1600 A/min Photoresist mask may be used: high resolution (1- (515] make I liter 2 /Lml can be achieved

    2 5% KOH. 5% K,Fe(CN)", 1% sunaclant: -23",0.2 --2.3/Lm/min Electrochem. etch; photoresist mask can be used; good [51J

    A/cm'. PI cathode pauem resolution

    5-10% NaOH: 6 V. 3-6 A/dm', stainless steel cathode Electrochem. etch; rotation of anode or agitation of [29, 513. 566) ..,. -' electrolyte with N, is necessary

    4 Other etches [51.58.515, 555, 567]

    Uranium

    1-2HClO,. 2OCH,COOH; 5O-W v. 5 A/drn'. stainless 1.9 fLm/mill Electrochemical polish (29)

    steel cathode

    2 Other electrochemical pohshe, [29]

    VanadIum _._--.--------------.__.._-----,----_._-_.

    1-2HClO,. 18-19CH,COOH:

  • Table X[V (Collti'....d)

    Etch rate or Etching conditions etch time Remarks Refs,

    Yttrium

    Dilule mineral acids or hot KOH solutions

    Zinc

    [557J

    :':j ""

    2

    3

    4

    5

    2-3HNO, _ J7-18H,0; 38-49"

    40 g CrO" 3 g Na,SO. 10 ml HND" 190 ml H,O

    1 g crO".5 mI H20; 60 V, 250-350A/dm'. Pt, Ni, orZn cathode

    20-45% KOH; 0-50": interrupted dc or sine wave method

    Other electrochemical etches

    251'm/min

    71'm/min

    40-45 sec

    Dense layer formed during treatment is soluble in water

    Electrochem, polish: tendency for a passive film formatlon

    Electrochem, etch: Zn is amalgamated first by dipping in a soln, of 50 g/liter HgCI, for 30 sec

    [15,513*] [29J 129J

    I568J

    [29,569571]

    Zirconium

    45HNO" 8-IOHf. 45H,0 or H,O,: swab for 5-10 sec, rinse in running H20

    5-10 sec Brownish-yellow vapor is evolved: similar solution can be used for Ti and H f

    [29] ,"-'"

    :JClO., 7CH,COOH, 4 ethylene glycol: > 100 A/dm', stainless steel cathode

    30-50 V, 20-30 seC Electrochemical polish [29J

    Other electrochemical etches {29] 4 Chemical polish elch 1572J

    Starred (.) rc,ference numbers refer to secondary numbers. In acids, der8"ivation of Cr mu\! be Induced h}' til phySIcal contact with declroJ>O"llve mela" tAl wire. Zn rod or rellets): (2) Cr" ions in

    aqut"ou'\ .olutlon. nl CH arrhcalJon of. c.-alhi\dl,, f"llrnhaJ Thrn ('r d''''I.(lh't', "'''Idl~ tn 0.-.(1) aU mlOt"ud aCid..

    -

    Ii ..

    ~

    Table XV

    Metal All"\,, and Superconductor,

    Etch rate Etching condition, or etch lime Remarks Ref>. ---~---.~----.~----~~~ ~-----~-------~---~-------------------------~-~~----- - -

    Inconel

    FeCI;, 36-4'1'1-1

  • Table XV (CQ"ti"u~d)

    Etch rate Etching cond,lIofi\ or etch time Remarks Refs.

    Nichrome'

    FeCI,. 36Be: 43 Photoresist mask may be used [507.5I3J

    2 IHNo,.IHCl.3H,O Photoresist mask rna)' be used [507J .. .... 3 4HCI. !H,O [513) )C

    4 7H,PO,. IH,SO,. 2H,0: II V. Cu cathode. Electrochemical polish [469)

    Permalloy

    3.9 M H,SO,. 1.12 M H,O,. 0.4-4 M HF 4 ",m/min Edges can be beveled using a Ti overcoat [54]

    NbSn

    I,SO,. 4HNO,. IHF: 12 V. graphite electrode Electrochem. polish: rinse immediatel), in H,O [469]

    Trade name of Westinghouse Electric Corp. Trade name of Driver-Harris Co.

    -

    F. Miscellaneoua Mate,lals -

    Table XVI

    Miscellaneous Materials

    ..... -t

    rate or , . Etching conditions etch time Remarks Refs.

    ...

    -:.z

    p

    S

    Ag,O

    crO, er,O-,

    CuO

    FeO or Fe,O,

    MoO,

    PbO

    WO

    C,H,OH (abs) CS,. C.H,. alkalis: ether: CHCI, toluene

    Cs, or toluene

    INH.OH. 4H~O 1O'k KCN

    2gCe(NH.).,(SO.k2H,0.lOmlHNO, .50ml H,0;28c

    164.5 g Ce(NH.~,(NO,,). 90 ml HNO-,. H,O to make I liter.

    IHel. 2H,0: hot

    Dilute HF 10'lr ammonium citrate: warm IHCl.IH,O

    9NH.OH. IH,O,. nnse In runmn!, H,O and dr~ 2Y7, KOH. rinse with H,O. dip in 6 M HCI. rin,e With H,O. then CH,OH and d~

    HNO,. followed by H,O rinse. then CH,OH nnse. and dl) ~(l'Y Na,O,. ho!

    200 A/min

    Red pho~h >rus Yellow (wi ,) phosphorus

    Cr itself etches at 85 A/min

    Used for removing Cr,O, contamination from gold surfaces

    Little loss of Cu

    Little or nt' )Ss of Fe No loss of h, Used for pattern etching

    Electrochemical polish

    Do not expose piece to air during treatment

    [557J [557] [557J [469] [469J [519J [573]

    14(9) [469J [469J 1526] 1469) 1469]

    1469J

    1469;

    '-'.

    t( onltnur d )

    '4_>~ ~~~--.",,' _,,,._. ",-...;o'_~"O~"~_'~_'__'''''''___~'"

    "'~''''-''''-~~'''.$~''';;.> .,~..: ',,

  • /'

    .:;....

    CI:

    c ",",

    v;

    0\ N v;

    ;; ....

    v;

    ~ .-..

    v; v; ....

    v; ~ ', Vol. 6. Ch. 2,H. AcademIC Prc" , New Y".~, 1~5~ J. W, Fau,t, Jr., ill "The Surf",e Chem"lry oi" Melal> and SCJJlIl:onuucl,,,," (II (iaIO" cd.), pp. 151-173. Wiley, New '1'011..1%0.

    3. N. Had,erlllan, in The Su.face Chemblry of Mctuh and Scmiconuut:lor," 111. (' Gatos, ed.), pp. 313-325. Wiley, New York, I%().

    4. P. Lacombe, in "The Surface Chemistry or Meidl> anu Semiconduclllr," III t'. Galo" ed.)' pp. 244-284. Wiley, New York, 1%0

    5. P. J. Holme', in "The Elcclrochemistry of Semiconductor," (P 1. Holme" cd), ('h B. Academic Press, New York, 1962.

    6, B. A. Irving, in "The Electrochemi,try 1)1' Scmiconuuclors" II' 256-2B8. AcademiC Pre", New York, J%Z.

    llolmes, cd.), PI'

    7. J. W. Faust, in "Semiconducting Compound," IK. K. Wliluru"lIl and H, I.. (ill"'lIlg. cdS,), Vol. I, pp, 445-468. Reinhold, New YOlk, 1%2.

    B. H. C. Gatos and M. C Lavine, Prol', S"ItIjlunJ. 9, 1-46 (I%~) 'i. "Integraleu Circuit Silicon Device Technology; XChemlcal Meldllu'g,cal PrOperll",

    of Silicon, ,. ASD-TDR-63-316, Vol. X, AD 625, ~B5. Rcscan:h Triangle In,t,, Research Triangle Park, North Carolina, (1965).

    '" U E "u

    iii ::: U

    Vi 8

    o iii iiiU U iii i iii 6:

    .

    '"

    ~,

    u is

    E ...

    Vl

    IU, M, Aven and J, S, l'rener "The Physic, and Chcllll>lry of It VI Compound,," pp 14 155,733. NorthHolland, Puhl., Am,tertlum, J%7.

    J l. C. V. King, in "I' Suri'ace Chemi'lry or Meta" and Semiconductor, 'IH C. (jal,'" ed,), pr. 357-38L Wiley, New York, 1960 .

    .tHO

  • 482 WERNER KERN AND CHERYL A. DECKERT

    12. S. K. Ghandhi. "The Theory and Practice of Microelectronics,"' Ch. 7. Wiley. Ne10lt York. 1968.

    13. C. D. Dobson. in "Gallium Arsenide Lasers" (e. H. Gooch. ed.). pp. )93-222. Wiley (interscience), New York, 1969. I~. R. Giang and L. V. Gregor. in "Handbook of Thin Film Technology" (L. 1. Mah~1

    and R. Giang. cds.), Ch. 7. McGrawHill, New York, 1970. 15. R. J. Ryan. E. B. Dayidson. and H. O. HooK, in "Handbook of Materials and Pro

    cesses For Electronics" Ie. A. Harper. ed.). Ch. 14. McGrawHill, New York, 1970. 16. W. A. Pliskin and S. J. Zanin. In "Handbook of ThinFilm Technology" (L. L Mais~1

    and R. Giang. eds.), Ch. 11. McGraw-Hili, New York, 1970. 17. P. F. Kane and G. B. Larrabee, "Ch'lracterization of Semiconductor Material . "

    McGraw-Hili, New York, 1970. t 18. H. F. Wolf, "Semiconductors," pp. 130-136. Wiley (Intersciel'ce), New York, 197L 19. T. C. Harman and I. Melngailis. Appl. Solid 51011' Sci. 4,1-94 (1974). 20. B. Tuck. J. Motu. Sci. 10, 321 (1975). 21. W. R. Runyan. "Semiconductor Measurements and Instrumentation." Chs. 1,2.7.

    and 9. McGraw-Hili, New York. 1975. 22. R. Tijburg. Phys. Technol. September. p. 202 (1976). 23. D. J. Stirland and B. W. Slraughan. Thin Solid Films 31.139 (1976). 24. M. J. Pryor and R. W. Staehle, in "Trealise on Solid Stale Chemistry" (N. B Hanllity.

    ed.). Vol. 4. Ch. 9, Plenum. New York, 1976. 25. "Metals Reference Book" (e. J. Smilhells, ed.), 5th Ed. Butterworth, London, 1971>. 26. "Etching for Pattern Definition" (H. G. Hughes and M. J. Rand, eds.). Electrochem.

    Soc. Princeton. New Jersey, 1976. 27. W. Kern. ref. 26. pp. 1-ISd.

    27a. W. Kern. RCA Rey. 39,278 (1918).

    28. J. S. Judge. ref. 26. pp. 19-36. 29. W. Tegert. "The Electrolytic and Chemical Poli~hing of Metals," 2nd Ed. Pergamon.

    Oxford. 1959. 30. J. F. Dewald, in "Semiconductors" IN. B. Hannay, ed.). pp. 727-752 Reinhold, N~",

    York. 1959. 31. "The Surface Chemi5lry of Metal. and Semicondu~tor~" (H. C. GalO', ed.) Wile).

    New York, 1960. 32. "The Electrochemistry of SemiconduclOrs" (P. J. Holmes. ed.). A,ademic Pres", Nc'"

    York. 1962.

    33, J. L Pankoye. ref. 32. Ch. 7.

    34. E. A. Eomoy and I. G. Eru"alimchik, "Electrochemistry of Germanium and Silicon

    (A. Peiperl. ed. and transl.) Sigma Press, Wa5hington. D.C., 1963. 35. P. J. Boddy. J. Elf'('/rollnul. ('hem. 10, 199 (1965). 36. V. A. Myamlin and Y. V. Pleskoy. "Electrochemistry of Semiconductors." Plenum.

    New York. 1967. 37. H. Gerischer. in "Electrochemistry," Part A (W. Jost, ed.), Physical Chemislry. Vol.

    9. pp. 463-542. Academic Press. New York 1970. 3S. P. V. Shchigolev. "Electrolylic and Chemical Polishing of Metals." Freund Publ

    House. Holon. Israel. 1910. 39. Y. V. Pleskoy. Prog. Surf. Mm,br. Sci. 7,51-9311913). 40. A. K. Vijh. "Electrochemistry of Metal> and Semiconduclors." Dekker, New Yor .

    1973. 41. G. L. Schnable and P. F. Schmid!. J. EIt'Clrochem. Soc. 123. 310C (1976). 42. "Corrosion" (L. L. Shreir. ed.), 2nd Ed. Newne,,-Bullerworth. London. 1976.

    v-I CIiI.MICAL L I CHING 4X \

    43. A. H. AgaJ"nian, Solid SIalwlld. 1'1'.431-43.\ (U ..\.1. )1"" I'll'" N"

    337. (1'I7U). 67. R. C. Hendel)un. 1. tJedro, hem. So... 119,77211'172)

    6!! K. L Meek. T. M Huck. anJ e. F. Gibbon. 1 1:ln Ir" .. ilOIl . .1", IlU. 1!41 11')7

    0'). D. A. Kie"'lt. I. J. [YHaellens, and J. A. KOlh . .I. Un II''', 1"'11/ .\", 111,110 IIIil4,

    69a. D. A. Pelers and C A. Deckert. Electro, 111'111. Ip,. i-.,I('Ih/. 04"'[1 7Hl. on (197X,

    70. P. KaiChoudhury. ill "ScrnlCllnduclor Silicoll 1'173" 111. K. Hull.,,)d K K.lhH!;e"

    ed,.), pp. 243-257. Elcctrochcm. Soc., Pn""el(lll, Nev. Je"q. 1117\ 71. D. K. (hwuld. 1. t:le

  • ---,,-4H4 WERNER KERN AND CHERYL A. DECKERl

    83. Ref. 50, Chs. 3 and 7. 84. L. Holland, "The Propenie" ofGla", Surface,," Ch. 5. Wiley, New York. 1964. S5. R. Brown, in "Hallllbook oCThin Film Technology" (L. I. Maissel and R. Giang, elk!.

    Ch. 6, pp. 37-42. McGraw-Hili. New York. 1970. 86. D. M. Mattox, "Surface Cleaning in Thin Film Technology." AVS Monogr. Am. Va.:.

    Soc . New York. 1975. 87. R. R. Sowell, R. E. Cuthrell. 0 M. Mattox, and R. D. Bland. 1. Vae. Sci. 1'"chllol. II,

    474 (1974). 8S. J. R. Vig. C. F. Cook. N. Schmidtal. l. W. LeBus, and E. Hafner, "Surface Studie, for

    Quanz Resonator"." R&D Tech. Rep. ECOM 4251. U.S. Anny Electron. Command, Fort Monmouth. New Jersey (1974).

    89. D. A. Bolon and C. O. Kunz. 1'(1),111. t:llg. Sci. 12, 109 (1972). 90. P. H. Holloway and D. W. Bu.hmire. Annu. Proc. Rehab. Phy,-. 12th p. ISO (1974). 91. R. C. Snogren. "Handbook of Surface Preparation." Palmenon, New York, 1974. 92. J. L. Vossen.J. Appl. Ph),l. 47,)44 (1976). 93. L. Holland, J. VaL Sci. 1'..-hllol. 14, 5 (1977). 94. R. B. Gillette, J. R. Hollahan. and G. L. Carlson, 1. Vue. Sci. l'~('hll()/. 1,534 (1970) 95. J. L. Vossen, J. J. ONeill. Jr .. K. M. Finlay.on, and L. J. Royer. RCA Rei'. 31, 2'13

    (1970). 96. C. C. Chang, P. Petroff. G. Qumtana. and J. Sosniak, Surf. Sci. 38,341 (1973). 97. D. V. McCaughan and R. A. Ku,hner. Thin Solid Films 22, 359 (1974). 98. G. J. Kominiak and J. E. Uhl. Rep. SAND 75-0455. Sandia Lab. Albuquerque. New

    Mexico (1975). 99. Ref.SI,Ch.5.

    100.1'. Smith. Surf. Sci. 27,45 (1'171). 101. A. M. Morgan and l. D"hn,,1. VOl' Sri. Techno!. 10,523 1I\l731. 102. R. W. Kirk. in "Techniques and Application, of Plasma Chemistry" tJ. R. Hollahan

    and A. T. Bell. ed~.l. Ch. 9. Wiley, New York, 1974. 103. G. J. Kominiak and D. M. Malto. R"p. SAND 75-6110. Sandia Lab.. Albuquerque,

    New Mexico (l97b); Thin Solid Filml 40, 141 (1977). 104. Pla,ma Etching and Depo,ition Technology: Program. Allant; (ja. Meet. 1. 1:'1""1",

    chern. Sue. 124. 252C -324C (1'177). 105. J. S. Judge, J. EIt'clrochem SOL 11K, 1772 (1971). 106. E. F. Duffek and D. Pilling, clerIWehem. Sue. blend. AbJlr. No. III, p. 244. Spnng

    Meeting 09(5). 107. S. A. Harrell and J. R. People,. Jr., Elec/rvchem. Soc. Exlend. Abm. No. 112. p. 247.

    Spling Meeting (1965). 108. C. C. Mai and J. C. Looney, -"emicond. Prod. Solid Siule fechllOl. 9(1).19 (1%6). 109. J. Lawrence, Eleclmchem. Soc. Extend. Ab,tr. 12-2,466 (1972). 110. V. Harrap.in "SemiconductorSilicun 1973" (H. R. Huff and R. R. Burgess, ed. ). pp.

    354-362. Electrochem. Soc., Princeton. New Jersey. 1973. III. R. Herring and J. B. Price, Ele'. 29, 557 (1,!6~).

    124. W. A. Pli,kin and H. S. L"hm,m.l, L/cctr,,,i,"III. So, 112,1(1111%') 125. D. II. Gralllham and J, Swindal. 1111. M" III..!" /["". \\/11/1. p. I tX Ilil S"oc flytllid

    Microclc.:tron. Montgomery. Alabama 11'J7'1 126. T. Kublna, 11'11.1. AI'I'I. Plry.\. II, 141.\ ! 1 III L E. Katl and W. (' ElJman.l. t/ntr", hl'm . .\", 123, 124~ 11'171" In. S. C, H. Llfi an

  • 486

    ~

    WERNER KERN AND CHERYL A. DECKERT

    IS4b. D. R. Harbison and H. L. Taylor. in "Thin Film Dielectrics" IF. Vratny. cd.). pp. 254-218. Electrochem. Soc . New York. 1969.

    155. M. Balog. M. Schieber, S. Patai. and M. Michman, J. Cryst. Growlh 17,298 (19721. 156. Y. W. Hsueh and H. C. Lin. Anna. Rep" CO'll Electr. Insul. Dieleelr. Phnwm. p. SIS

    ( 1974). 157. E. Kaplan, M. Balog. and D. frohman-Bentchkowsky, J. ElectrociJem Soc. 123, 1570

    {I 976). 158_ W. H. Knau..enberger and R. N. Tauber. J. Eleclrochem. Soc. 120,927 (1973). 159. J.P.S. Pringle, J. EIt'Clrocht'm. Soc. 119,482 (1972). 160. P. W. Wyatt. J. EleClrochem. Soc. 122, 1660 (1975). 161. B. H. Hill,J. Elec/fOlht'm. 50('.115,668 (1969). 162. J. Grossman and D. S. Herman. J. Eleclrochem. Soc. 116,674 (1969). 163. H. M_ Day. A. Christou. W. H. Weisenberger, and J. K. Hcrvonen.J. Eleclro/-hem.

    Soc. Ill, 769 (1975). 164. R. N. Tauber. A. C. Dumbri. and R. E. Caffrey,J. Electrochem. Soc. 118,747 (1971). 165. J. A. Abaaf, J. Electrochem. Soc. 114,948 (1967). 166. N. Hashimoto, Y. Koga, and E. Yamada. in "Thin Film Dielectrics" (F. Vralny.

    cd.). pp. 327-331. Eleclrochem. Soc . New York. 1969. 167. Y. Koga, M. Malsushita. K. Kobayashi. Y. Nakaido. and S. Toyoshima. in "Thin

    Film Dieleclrics" (F. Vramy. ed.). pp. 355-377. Electrochem. Soc., New York. 1969. 168. M. T. Duffy and W. Kern. RCA Rn. 31.754 (1970). 169. M. MUlOh. Y. Mizokami. H. Malsui. S. Ha&iwara. and M. Ino. J. Elt'clrochem. Soc.

    Ill. 987 (1975). 170. H. Kallo and Y. Koga. J. Elt'c/fochem. Soc. 118. 1619 (1971). 171. A. J. Learn. J. Appl. Phy . 44, 1251 (1973). 172. K. lida.J. Elutrochem. Soc. 124,614 (1977); M. Hirayama and K. Shohno.J. Elen",

    chem. Soc. Ill, 1671 (1975). 173. E. Ferrieu and B. Pruniaux.J. EI('(lmcht'm. Soc. 116,1008 (1969). 174. H. Harada. S. Saloh. and M. Yoshida. IEEE Trans. Rehab. R.25, 290 (1976). 175. R. G. Friescr. J. Ele,-Irochem. Soc. 113,357 (1966). 176. T. N. Kennedy. Electron. Packag. Prod. 14(12). 136(1974). 177. R. S. Nowiclr.i. J. Vae. Sci. Techno!. 14. 127 (1977). 178. S. K. Tung and R.E. CaffreY,J. Eleclrochem. Soc. 1l4, 257C. Abstr. RNP-24 (19(".. 179. V. Y. Doo and P. J. Tsang, EleclfOchem. Soc. Ex/end. Abslr. No. 16. p. 33. Spnng

    Meeting (1969). 180. P. J. Tsang, R. M. Ander~on. and S. Cvikevich. J. EleClroch,m. Soc. 123,57 (19761. 181. K. M. Schlesier. J. M. Shaw. and C. W. Benyon. Jr. RCA Rev. 37,358 (1976). 182. G. C. Schwartz and V. Plauer, J. Eleclrochem. Soc. 122, 1508 (1975). 183. A. Reisman. M. Berlr.cnblit. J. Cuomo. and S. A. Chan. J. Eleclrochem. Soc. III,

    1653 (1971). 184. M. F. Ehman. J. Electrochem. Soc. III, 1240 (1974). 18j. H. M. Manasevit. J. Electrochem. Soc. Ill, 293 (1974). 186. J. M. Green. J. Eleetrocht'm. Soc. 119, 1765 (1972). 187. M. Safdar, G. H. Frischal. and H. Salge, J. Am. Ceram. Soc. 57, 106 (1974). 188. B. Siesmayer. R. Heimann. and W. Franke. J. Cryst. Gro"'lh lB, 157 (l975). 189. I. I. Baram. Zh. Prikl. Khim. 38, 2181 (1965).

    189-... M. Balog. M. Schieber, M. Michman, and S. Palai. Thin Solid Films 41, 24711~77).

    190. W. Kern and R. C. Heim, Electrochem. Soc. EXltnd. Abstr. No. 92. p. 234. Spnn,

    Meelinllll9(8). 191. W. Kern and R. C. Heim. J. Elt'clrochem. Soc. 117, 562 (1970).

    v-I. CHEMICAL ETCHING -lX?

    192. W. Kern. G. L. Schnablc. and A. W F"hcl. R( A Rn . .17, ! 11'176, 193. J. M. Eldridge and P. Balk, Trans.