24
© Copyright 2021 Xilinx Versal HBM Series Announcement Mike Thompson, Senior Product Line Manager, Versal™ Premium and HBM ACAPs, Virtex® UltraScale+™ FPGAs

Versal HBM Series Announcement - xilinx.com

  • Upload
    others

  • View
    5

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Versal HBM Series Announcement

Mike Thompson, Senior Product Line Manager,

Versal™ Premium and HBM ACAPs, Virtex® UltraScale+™ FPGAs

Page 2: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Bandwidth and Security Requirements Outpacing Current Processing and Memory Technologies

Data Security Falling Short

Source: Data Age 2025 study, April 2017, IDC

25%35%

45%

24%

32%

42%

2015 2020 2025

Does Not Require Security

Requires Security (Protected)

Requires Security (Unprotected)

Exponential Growth of Data

to be Processed

Gap Between Network Traffic and

Memory Bandwidth

Source: “Global interconnection bandwidth”

grow at a 45% CAGR—translating to 16,300+ Tb/s

0

4,000

8,000

12,000

16,000

2019 2020 2021 2022 2023

DDR Bandwidth

Global Interconnection

Bandwidth

2

Performance

Bottleneck

Source: Adapted from Data Age 2025 from IDC Global DataSphere,

Nov 2018

2005 2010 2015 2020 2025

Data

(Z

ett

ab

yte

s)

175ZB

(Tb/s)

Page 3: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Traditional Architectures Are Bottlenecked on Memory and Network Access for Real-Time Applications

PCIe,

Interlaken

Network

InterfaceASSP FPGA

COMPUTENETWORK

BOTTLENECK CONNECTIVITY

Network

& Storage

25G

100G

DDR4

MEMORY

BOTTLENECK

Chip-to-Chip

Host CPU

3

Page 4: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Versal™ HBM Series: Solving Big Data, Big Bandwidth Problems

ELIMINATING MEMORY BOTTLENECKS

High

Bandwidth

Memory

(HBM)

Adaptable

Compute

Secure

Connectivity

Chip-to-Chip

Host CPU

Network

& StorageSecure

Connectivity

4

Maximize Performance and Minimize Power, Area, and Application Latency

10G

25G

400G

800G

40G

50G

100G

200G

Page 5: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Versal™ HBM Series: A Single, Converged Platform

5

ADAPTABLE

COMPUTE

SECURE

CONNECTIVITY

FAST MEMORY

Page 6: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Versal™ HBM SeriesConvergence of Fast Memory, Secure Data, and Adaptable Compute

1: Based on a typical system implementation of four DDR5-6400 components

2: Line rate vs. Virtex® UltraScale+™ FPGA

3: Logic density vs. Virtex UltraScale+ HBM FPGA

112G SerDes

400G High-Speed Crypto

820GB/s HBM2e

PCIe® Gen5

600G Cores

2X adaptable compute engines3 for

evolving algorithms and protocols

2X faster secure connectivity2

to adapt for emerging networks

8X memory bandwidth1 at 63% lower power

alleviates network and compute bottlenecks

6

Page 7: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Network

Security Appliance

Search and Look-Up

800G Switch / Router

Data Center

Machine Learning Acceleration

Compute Pre-Processing & Buffering

Database Acceleration & Analytics

For Memory Bound, Compute Intensive, High Bandwidth Applications

Test &

Measurement

Network Testers

Packet Capture

Data Capture

Aerospace &

Defense

Radar

Signal Processing

Secure Communication

7

Target Markets for Versal™ HBM Series

Page 8: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

PrimeSeries

AI CoreSeries

AI RFSeries

HBMSeries

PremiumSeries

AI EdgeSeries

8

Page 9: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Execution through EvolutionBuilt on a Proven Foundation

Inte

gra

ted B

locks

Design

Reuse

HBM Subsystem

GPIO, LDVS, MIPI

DDR Mem Controllers

Programmable NoC

GTY (32.75G)

100G Multirate MAC

PCIe® Gen4

Platform Management

DSP Engines

Arm® Subsystems

Adaptable Engines

Design

Reuse

PCIe Gen5

GTYP (32G NRZ)

GTM (112G PAM4)

600G Ethernet

600G Interlaken

High-Speed Crypto

IN PRODUCTION

SAMPLING NOW

COMING SOON

9

Page 10: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

4th Gen Stacked Silicon Interconnect (SSI) Technology

SSI technology (CoWoS) is the de facto standard for HBM integration

Swapped out one super logic region (SLR), swapped in HBM stacks

Modified one SLR to add integrated HBM controller

Swap Out

SLR

Swap In

HBM2e

Modified

SLR

10

Page 11: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Architected for Fast Data Movement & Adaptive Processing

Adaptable Engines HBM

Network Access List112G

PAM4

SerDes (GTM)

32G

NRZ

SerDes (GTYP)

Multirate

Ethernet

Cores

Versal™ HBM ACAP

Database

Interlaken

Hard IP

Soft IP

Network

High-

Speed

Crypto

Engines PCIe®

Gen5

w/DMA &

CCIX, CXL

Chip-to-Chip

Host CPU

Scalable

Transceivers

Secure

Connectivity

4x 25G

8x 50G

4x 100G

8x 100G

Adaptable

Hardware

Massive

Memory Bandwidth

AI/ML Data Sets

IPsec Look-up Tables

11

Packet Processing

ML Algorithms

Security Algorithms

DSP Engines

ML Algorithms

FIR Filtering

Signal Processing

Page 12: Versal HBM Series Announcement - xilinx.com

XILINX INTERNAL12

Hyper Integration of Networked IP and Memory Subsystem

Replaces 32 DDR5 Chips2

with Integrated HBM

14 Equivalent FPGAsof Integrated Cores1

1: Xilinx® Virtex® UltraScale+™ FPGAs vs. Versal™ HBM VH1782 ACAP

equivalent logic density of Ethernet, Interlaken, and High-Speed Crypto Engines

2: For equivalent HBM bandwidth vs. DDR5-6400 components

12

Page 13: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Integrated HBM Eclipses Commodity Memories for Data Intensive Applications

1: Based on a typical system implementation of four DDR5-6400 components

2: Based on a typical system implementation of four LPDDR5 components

Versal™ HBM

ACAP

DDR51

102GB/s

8X

LPDDR52

120GB/s

7X

820GB/s460GB/s

Virtex®

UltraScale+™

HBM FPGA

1.8X

63% Lower Power Eliminates high-power I/O

Major OpEx reduction

8X More Bandwidth Higher capacity network processing

Higher performance AI acceleration

Versal HBM

ACAP

DDR51 LPDDR52 Virtex

UltraScale+

HBM FPGA

16pJ/bit

11pJ/bit

7pJ/bit

6pJ/bit

13

46% Lower

63% Lower

15% Lower

Page 14: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

2X HBM Capacity vs. Virtex UltraScale+ HBM FPGA

14

Virtex® UltraScale+™ HBM FPGA Versal™ HBM ACAP

HBM Capacity (GB) 4 8 16 8 16 32

2X

HBM Capacity (GB)

Enables processing on bigger data sets

Less swapping of data results in higher performance

Page 15: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

2X Faster, Scalable Serial Bandwidth5.6Tb/s of Total SerDes Bandwidth

15

BackplaneCopper Cable Optics

Mainstream Power-Optimized 100G Interfaces

Cost-effective 10/25/40/50/100G Ethernet with backward compatibility32Gb/s

NRZ

Proven in

16nm/7nm Silicon

Current 400G Ramp and Deployment

Enabling latest generation optics for maximum system bandwidth58Gb/s

PAM4

Future 800G Networks on Existing Infrastructure

Industry moving towards 100G per lane optics and

800G infrastructure

112Gb/sPAM4

QSFP28-DD QSFP56-DD

4x100G, 400G

CFP8QSFP28 OSFP QSFP-DD800

100G per lane

Page 16: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

1.2Tb/s of line rate encryption throughput Bulk Crypto AES-GCM-256/128, MACsec, IPsec

World’s only hardened 400G Crypto Engine on an adaptable platform

Pre-Built Connectivity for Fastest Time to Market and Optimal Power/Performance

16

600Gb/s of off-the-shelf Interlaken connectivity Scalable chip-to-chip interconnect from 12.5Gb/s to 600Gb/s

Integrated FEC for power-optimized error correction

2.4Tb/s of scalable Ethernet bandwidth For next-gen 400G and 800G infrastructure

Multirate: 400/200/100/50/40/25/10G with FEC, Multi-standard: FlexE, Flex-O, eCPRI, FCoE, OTN

1.5Tb/s of aggregated PCIe link bandwidth PCIe® Gen5 with DMA, CCIX, and CXL

Dedicated connectivity over programmable NoC to memory

Page 17: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Adaptable Acceleration for Massive Connected Data Sets

17

Adaptive, Heterogeneous Compute

Match the Engine to the Algorithm

Acceleration for Large Data Sets

Compute Intensive, Memory-Bound Workloads

FRAUD

DETECTION

COMPUTE

PRE-PROCESSING

DATA

ANALYTICS

RECOMMENDATION

ENGINE

DATA BASE

ACCELERATION

GENOMICS

WEATHER

FORECASTING

VIDEO

TRANSCODING

NETWORK

INTRUSION

DETECTION

GEOGRAPHIC

IMAGERY

ADAPTABLE

ACCELERATOR

NATURAL

LANGUAGE

PROCESSING

FINANCIAL

MARKET

MODELING

Scalar Engines Adaptable Engines DSP Engines

Programmable Network on Chip

DDR4 HBM

600G

Ethernet

Cores112Gb/s

58Gb/s

32Gb/s MIPI

LVDS

GPIO

PCIe®

Gen5

w/DMA &

CCIX, CXL

Accelerate Irregular

Data Structures

Low Latency:

Batch Size = 1

Adaptable Memory

Hierarchy

Massive Parallelism

Multi-Precision:

INT8, FP, Complex

Domain-Specific:

ML, Video, Imaging

Granular Control for

Customized Datapaths

Kubernetes

Orchestration

Runs Drivers

Secure Boot

and Configuration

Power

Management

600G

ILKN

Cores

100G

Multirate

Ethernet

Cores

400G

High-

Speed

Crypto

Page 18: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Faster Runtimes on Bigger Data Sets Deploy with Fewer and Lower Cost Servers

Real-Time Recommendation Engine

Cosine similarity algorithm

Clinical outcome predictions

Real-Time Fraud Detection

Louvain modularity algorithm

Detect anomalies in behavior/transactions

100M 200M50M

1: 3rd gen Intel Xeon gold/platinum scalable processors

2: Xilinx® Virtex UltraScale+ FPGA based Alveo™ Accelerator card18

Versal HBM

ACAP

Virtex UltraScale+

FPGA2X86 CPU1

Versal™ HBM

ACAP

Virtex® UltraScale+™

FPGA2X86 CPU1

Pa

tien

t D

B S

ize

(M

)R

untim

e (

ms)

4X Bigger

2X Bigger

100X Faster 200X Faster

20M 40M10M

Versal HBM

ACAP

Virtex UltraScale+ HBM

FPGA2X86 CPU1

Ve

rtic

es (

M)

Ru

ntim

e (

ms)

4X Bigger

10X Faster

20X Faster

Versal HBM

ACAP

Virtex UltraScale+

FPGA2X86 CPU1

(Bigger is better)

(Lower is better)

(Bigger is better)

(Lower is better)

2X Bigger

Page 19: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

NPU SoC Versal ACAP

Session Capacity 16M 40M

Memory Throughput 250GB/s 820GB/s

Area2 16 devices = 58,569mm2 3 devices = 6,700mm2

Power 305W 190W

SerDes Line Rate 50G Only 100/50/25/10G (Greater Flexibility)

800G Next-Gen FirewallHigh Performance, Low Power, ML-Enabled Network Security

2X

2.5X

3.3X

89% Less

Adaptable Hardware

HBM Stack (32GB)

TCP Buffer

Flow Tables

IPsec

Processing

Packet

Processing

Anomaly

Detection

(ML)

IPsec Tables

Statistics

112G

PAM4

SerDes

600G

Ethernet

High-

Speed

CryptoPCIe®

Gen5

400G

400G

2 Crypto Protocols

Asymmetric crypto PKI

TOE (not scalable)

Stateful Process

IPsec processing

200G IPsec

1Crypto Protocols

Asymmetric Crypto PKI

TOE (not scalable)

Stateful Process

IPsec Processing

400G IPsec Host CPU

800G

NPU SoC1

ML

Crypto

2

ML

Crypto

Virtex® UltraScale+™

VU9P FPGA1

Versal™ HBM VH1782 ACAP

IPsec SessionsRoute Tables

Host

CPUx12

Next-Gen Firewall

400G

400G

19

38% Less

Interlaken

Security

Processor

2

Security

Processor

1

1: Marvell CN106XXS

2: Xilinx estimates

Page 20: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Users Can Get Started Now

20

Page 21: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Scalable Compute and Memory Capacity

21

VH1522 VH1542 VH1582 VH1742 VH1782

HBM DRAM (GB) 8 16 32 16 32

Total PL Memory (Mb) 509 752

GTYP 32G 68 68

GTM 56G (112G) 20 (10) 60 (30)

100G Multirate Ethernet MAC 4 6

600G Ethernet MAC 1 3

400G High-Speed Crypto

Engines2 3

System Logic Cells 3.8M 5.6M

Adaptable Engines (LUTs) 1.8M 2.6M

Intelligent Engines (DSP Slices) 7.4K 10.9K

Scalar Engines Dual-Core Arm® Cortex®-A72 Application Processing Unit / Dual-Core Arm Cortex-R5F Real-Time Processing Unit

Co

nn

ectivity

Co

mp

ute

Me

mo

ry

Page 22: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Customers Can Get Started Now

22

Evaluate Key

Architectural Blocks

Key Interfaces for

System Testing

System-Design

Methodology Guides

Start Now with Versal™ Premium SeriesTools and Devices Available Now

Evaluation Boards in Early Access

Migrate to Versal HBM Series

* Schedules subject to change

Documentation Available Now

Tools Available 2nd Half of 2021

Devices Sampling 1st Half of 2022*

Page 23: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Versal™ HBM Series: Convergence of Fast Memory, Secure Data, and Adaptable Compute

8X Memory Bandwidth at 63% Lower Power1

HBM2e for 820GB/s of memory bandwidth

Eliminates data movement between memory and processing

Alleviates network and compute bottlenecks

2X Faster Secure Connectivity2

Multi-terabit networked, power-optimized cores

112G PAM4 transceivers

Adaptable to emerging network optics and protocols

2X Adaptable Compute Engines3

Heterogeneous platform to match the engine to the workload

Maximizes performance and adapts with evolving algorithms

Massive CapEx/OpEx savings for cloud and network providers

23

1: Based on a typical system implementation of four DDR5-6400 components

2: Line rate vs. Virtex® UltraScale+™ FPGA

3: Logic density vs. Virtex UltraScale+ HBM FPGA

Silicon Sampling in 1st Half 2022

Page 24: Versal HBM Series Announcement - xilinx.com

© Copyright 2021 Xilinx

Thank You