84
96 CIC ANNUAL REPORT 2009 User Profile

User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

  • Upload
    lephuc

  • View
    227

  • Download
    0

Embed Size (px)

Citation preview

Page 1: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

96

CIC ANNUAL REPORT 2009

User Profile

Page 2: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

97

Page 3: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

98

CIC ANNUAL REPORT 2009

Outstanding Designs Awarded in 2010 CIC Multi-Project Chip Workshop

The Special Honor Award

S1

High Speed and Low Voltage Embedded NAND-type ROM

IC NO.: U90-97C-14

Advisor: Meng-Fan Chang

Designer: Chih-Chyuang Chiang

Designer: Chih-Wei Liang

Abstract

The research focus on the decreasing of operation speed and read data failure due to crosstalk in a NAND-type

read only memory (ROM). The source line charging circuit scheme is proposed to eliminate crosstalk effect, speed

up the operation cycle and achieve full code coverage. The propose NAND-type ROM can be work under high

speed and low voltage.

Page 4: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

99

S2

Pain Control On Demand Based on Pulsed Radio-Frequency Stimulation of the Dorsal Root Ganglion Using a Batteryless Implantable CMOS SoCIC NO.: B35-98B-120s

Adviser: Chii-Wann Lin ,Hung-Wei Chiu, Wen-Pin Shih,Yao-Joe

Yang, Shey-Shi Lu

Designer: Mu-Lien Lin, Yeong-Ray Wen, Chi-Heng Chang, I-Hsiu Ho,

Po-Hsiang Fang, Yi-Chin Li, Chang-Lun Wang, Yao-Chuan Tsai

Abstract

This work uses a batteryless implantable pain-control SoC that is effective in pain reduction, using a low

stimulation voltage that avoids causing thermal damage to dorsal root ganglion (DRG) tissue. An animal study

of neuropathic pain was previously designed with PRF parameters to control tissue temperature at <40°C via an

external function generator [3]. This work now presents the implementation of this functionality on a CMOS SoC.

Its effectiveness is demonstrated by observing the behavior of rats receiving localized bipolar stimulus to the DRG

of the lumbar nerve.

The Best Design Award of Digital

B1

High-Throughput Reconfigurable Convolutional Turbo Decoder Design for Future 4G Wireless WAN SystemsIC NO.: UN90-98B 12a

Advisor: An-Yeu Wu

Designer: Cheng-Hung Lin

Designer: En-Jui Chang

Page 5: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

100

CIC ANNUAL REPORT 2009

Abstract

The goal of this work is to design a high-throughput reconfigurable CTC decoder that can be used in 3GPP-LTE

and WiMAX system, even in future wireless WAN systems. The key features of this work are (1) to propose a

new architecture for the MAP processor and interleaver, in order to achieve the high-throughput requirements,

(2) to design the reconfigurable MAP processor based on the computational similarity between SB-CTC and DB-

CTC decoding algorithms, and (3) to meet the CTC specifications of 3GPP-LTE and WiMAX systems. The results

show that the hardware overhead for the MAP processor supporting the both CTC decoding algorithms is less

than 10%. Finally, the proposed MAP processor and interleaver are embedded into a CTC decoder chip. The

implemented decoder with a die area of 7.18 mm2 can support 35-mode CTC decoding for both 3GPP-LTE and WiMAX systems. The maximum operating frequency is measured at 97.5MHz and the maximum throughput rate

can achieve 180 Mbps which satisfies the data-rate requirement of the future wireless WAN systems. The average

power consumption is 46.86mW. In literature, this work presents the first prototyping chip for decoding the both

3GPP-LTE and WiMAX CTC schemes.

B2

Reconfigurable SVD Engine Design for IEEE 802.11n StandardIC NO.: U90-98A-02a

Advisor: An-Yeu Wu

Designer: Yan-Liang Chen

Designer: Ting-Jiun Jeng

Abstract

This work presents a complete set of singular value decomposition (SVD) algorithm and hardware architecture

design in IEEE 802.11n communication system, which has the features as follows: (1) 16-mode reconfigurable

design for different number of transmit and receive antennas defined in IEEE 802.11n standard. (2) Data

interleaving scheme for dealing simultaneously with 16 channel matrices. (3) Fast convergence scheme for high

system throughput without losing performance. (4) Early termination scheme for improving systems utilization rate

and reducing system latency. For the features mentioned above, a reconfigurable SVD engine design for IEEE

Page 6: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

101

802.11n standard is proposed. This design is implemented in a chip using 90nm CMOS process with core area of

2.17 mm2. The maximum operational frequency is 101.2MHz with the power consumption of 125mW.

B3

Online Transparent Built-In Self-Repair Techniques for RAMsIC NO.: T18-98C-14a

Advisor: Jin-Fu Li

Designer: Tzu-Wei Tseng

Abstract

Memory core is one of key components in system-on-chips. Since memory usually represents a significant of the

chip area and is prone to manufacturing defects, it dominates the yield and reliability of chips. Typically, adding

redundancies is used to improvement the yield of RAMs. Today, memory built-in self-repair (BISR) techniques are

widely used to repair embedded RAMs. On the other hand, error-correction coding (ECC) is widely used to cope

with the issue of soft errors in RAMs.

With the shrinking size of transistors, the circuit uncertainty makes the CMOS circuit be very unreliable. This

causes that more and more latent defects exist in a chip. These latent defects may manifest as hard faults after

a period of life time. Therefore, using ECC techniques to enhance the reliability of memories is not sufficient for

nano-scale memories due to severe effect of fault accumulation. One of possible approaches for alleviating the

effect of fault accumulation is to integrate the transparent test scheme with ECC technique. Unfortunately, existing

transparent test schemes have the problems of fault location and long signature prediction test.

In this work, an online transparent test and repair scheme is proposed. This scheme takes the advantages of

real-time fault detection and location of ECC technique and uses the transparent test to detect hard faults in field.

Also, the detected hard faults can be online repaired using the remained redundancies after production test.

Experimental results show that the online transparent BISR improves the reliability of RAMs. For example, for an

8192×64-bit RAM, the probability of the RAM works correctly is 68% after 4000 hours under the probability of 10-8/

hour and 10-7/hour of hard and soft faults.

Furthermore, the transparent BISR chip also is integrated with the HOY wireless test platform. We implemented a

prototype of wirelessly controlled transparent BISR scheme, where control signals for design-for testability (DFT)

and the test status are transported wirelessly. Experimental results demonstrate the transparent BISR scheme

also can be operated through the HOY test platform well.

Page 7: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

102

CIC ANNUAL REPORT 2009

The Best Design Award of Analog

B4

A 1.3mW 100 MS/s 10bit SAR ADCIC NO.: UN90-98A-10

Advisor: Soon-Jyh Chang

Designer: Chun-Cheng Liu

Abstract

In this report, the design concept and measurement results of a low-power high-speed analog-to-digital converter

(ADC) are presented. The ADC is design for the application of digital video processing. For such an application, in

general, its accuracy requirement is about 8 to10 bits and its sampling rate is around several 10s of MS/s.

Instead of pipeline architecture which is traditionally used for the application of video processing, this work adopts

SAR (Successive Approximation Register) architecture to reduce the total power consumption and chip area.

The major contribution of this work is to propose a new capacitor network with error tolerance ability, which can

improve the operation speed of whole circuit.

At 80MS/s operating speed and 1V supply voltage, the measurement results show that the SNDR and SFDR are

57.19dB and 78.84dB with 1MHz input frequency. The ENOB is 9.21bit. The total power consumption is 0.84mW.

The resultant FOM is 18fF/conversion-step.

B5

A New All-Digital High Resolution Synchronous Mirror Delay with Variable Duty CycleIC NO.: T13RF-97D-31

Advisor: Guo-Shing Jeng

Designer: Kai-Wei Hung

Page 8: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

103

Abstract

This study proposes a new all-digital high resolution synchronous mirror delay (HRSMD) with variable duty cycle.

The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

reduce the clock skew between the external clock and the internal clock in a chip with three innovative techniques.

First, by modifying mirror control circuit, the HRSB operates correctly with variable duty cycle (15% - 85%) clock

signal. Second, the HRSMD works precisely and ignores the effect of output loading changes by moving the

measurement delay line beyond the output driver. Finally, the HRSMD can enhance the resolution between

external clock and internal clock with fine tune structure. After phase locking, the maximum static phase error is

less than 20 ps. The chip is fabricated in TSMC 130 nm CMOS process. Its operating frequency range is from 300

MHz to 800 MHz. The RMS jitter and peak-to-prak jitter are 0.74 ps and 4.02 ps at 800 MHz, respectively. The

power consumption is 2.4 mW. The active area of this chip is 0.015 mm2.

B6

10-bit 100-MSPS Pipelined Analog-to-Digital Converter using low-gain amplifiers IC NO.: T18-98B-58

Advisor: Soon-Jyh Chang

Designer: Jin-Fu Lin

Abstract

In this chip, a split-capacitor correlated double sampling (SC-CDS) technique is proposed to improve the

performance of the CDS technique. Using the proposed technique, low-gain operational amplifiers (op-amps) can

be employed to implement a low-power pipelined ADC. Moreover, a power-efficient class-AB pseudo-differential

op-amp and its corresponding integrator-based common mode stabilization method (IB-CMS) are developed to

further reduce the power consumption of the ADC. The proposed pipelined ADC fabricated in a 0.18μm CMOS

process consumes 18 mW at 60 MS/s from a 1.8-V power supply.

Page 9: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

104

CIC ANNUAL REPORT 2009

The Best Design Award of RF

B7

A Low Voltage Frequency Synthesizer with a Fractional phase-rotating IC NO.: T13RF-98A-002b

Advisor: Ching-Yuan Yang

Designer: Chih-Hsiang Chang,

Abstract

The paper addresses the design and realization of a low-voltage high-frequency frequency synthesizer based

on a phase-locked loop (PLL) together with a frequency doubling regenerative technology. In addition, the PLL

employs a high-speed phase-rotating operation for fractional function. In order to provide higher-frequency

output, a frequency doubling regenerator using the injection-locked technique is added at the output stage of the

quadrature voltage-controlled oscillator (QVCO) to achieve higher operating frequency, larger output swing, wider

tuning range and lower noise for the synthesizer. Simultaneously, to lower the supply voltage and enlarge the

operating frequency, a hybrid circuit utilizing a new folded regime for the first-stage divider and the phase-rotating

circuit is developed. With full-speed operation, the QVCO with the frequency doubler and the divider can operate

from a 0.5-V supply. The synthesizer provides the tuning range of 8.8 to 9.2 GHz and dissipates below 12 mW. At

9.1-GHz carried frequency with fractional operation, the measured phase noise is 105 dBc/Hz at a 1- MHz offset.

Page 10: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

105

B8

60GHz Double-balanced Hetrodyne Active Gate Mixer IC NO.: T13RF-97C-62b

Advisor: Huei Wang

Designer: Pin-Cheng Huang

Abstract:

This work is to construct a 60GHz CMOS double-balance hetrodyne active gate mixer with the conversion gain of

0 ~ -3 dB, at radio frequency of 54-69 GHz and the IF output 1dB compression power of -8 dBm.

B9

A Sub-1V Low-Power 24-GHz Phased-Array ReceiverIC NO.: T18-98B-38

Advisor: Sheng-Fuh Chang

Designer: Ting-Yue Jin

Abstract

A sub-1V low-power K-band phased-array receiver is presented. The measurement

results show that the single-channel gain is 10.4 dB to 11.2 dB, the single-channel

noise is 5.5 dB to 6.3 dB, beam steering resolution is 15°, the power consumption is less than 46.8 mW, and chip

size is 2.08×1.98 mm2.

Page 11: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

106

CIC ANNUAL REPORT 2009

The Best Design Award of MEMS

B10

Monolithic CMOS-MEMS Resonator CircuitsIC NO.: D35-98A-02

Advisor: Sheng-Shian Li

Co-Advisor: Wei-Leun Fang

Designer: Wen-Jian Chen

Abstract

This project has completed the development of CMOS-MEMS (Vibrating Micromechanical Resonator) and its

monolithic transimpedance amplifier circuit. Among which, the resonator possesses characteristics, such as higher

Q value, micro size and low energy consumption. In addition, this project has already established a good foundation

for developing the high-performance and low-cost radio frequency (RF) MEMS circuits, such as filter, mixer and

oscillator. Discrete Passives that greatly used in current radio communication system that may possibly be replaced

by these circuits with higher Q value to realize the high-performance SoC radio frequency (RF) circuits, and further

become the key technology of the mobile communication system; in addition, it can then be comprehensively

improved Taiwan’s competitiveness of RF MEMS area, and created the next star industry in Taiwan.

B11

Improved FET Micro-pressure sensor integrated with RF transmitterIC NO.: D35-98A-23

Advisor: Ching-Liang Dai

Designer: Bo-Wei Lu

Page 12: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

107

Abstract

Wireless micro pressure sensors were manufactured using the standard 0.35 μm

CMOS (complementary metal oxide semiconductor) process and a post-CMOS

process. Wireless circuits included a ring oscillator and an antenna. The ring oscillator

was used to generate RF (radio frequency) signal and the antenna was utilized to

transmit the signal output. The EFT pressure sensor was combined with an amplifier

that can amplify the output signal and increase the sensitivity of the sensor. The

post-process employed the etchants to etch the sacrificial layers, and to release the

suspended structures, and then used a PDS (parylene deposition system) to seal the

etching holes in the pressure sensors.

The experimental results showed that the FET pressure sensor had a sensitivity of

about 0.08 mV/kPa. The sensitivity of output power in the FET pressure sensor was

0.0148 μW/kPa, and the sensitivity of power in the receiver was 0.5 nW/kPa at the

distance of 5 cm.

B12

Micro Mirror Array Integrated with Heap Pump CircuitIC NO.: D35-97D-26

Advisor: Ching-Liang Dai

Designer: Bin-Shiu Kao

Abstract

This work presents a micromechanical tunable mirror array with heap pump circuit, which using standard 0.35 µm

complementary metal oxide semiconductor (CMOS) process. The post-process is only one mask-less step which

is wet etching by using Buffered Oxide Etch (BOE) solution. This step is to release the suspended structure of

mirror and the mirror can be tuned after the post-process.

Keyword: micro mirror, heap pump

Page 13: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

108

CIC ANNUAL REPORT 2009

The Honorable Mention Design Award of Digital

H1

Report of Advanced Chip Testing Result

A Fully Synthesizable Design Flow for High-Speed Dual-Phase Dynamic LogicIC NO.: T18-98D-08a

Advisor: Ching-Hua Cheng

Designer:You-Tsz Tsai; Shuo-Wen Chang

Abstract

Domino logic design offers smaller area and higher speed than complementary CMOS design. Domino logic

design has become a very popular technology used to design high-performance processors. There have been

several studies conducted on dual phase operation dynamic circuit, but most have focused on theory without

practical implementation in large circuits. In this thesis, we establish the cell based synthesis design flow of the

high speed dual phase operation dynamic circuit, which includes skew tolerant, low-power and high-performance

characteristics.

In this work, there are three major contributions: First, we propose a high-performance dual phase circuit design

technique. Second, the supported synthesizable design CAD flow has been established. The skew-tolerant

problem is also considered in these CAD tools. Third, domino cell libraries with two noise-alleviation (charge

sharing and crosstalk) capabilities are generated to support the cell-based synthesis CAD design tools. Finally,

the results of this paper show validation of the proposed technique with real chip implementation.

H2

An FFT processor design supporting variable-length and flexible MIMO OFDM for DVB-V applicationIC NO.: T18-97D-09a

Advisor: Muh-Tian Shiue

Designer: Chuen-Lung Hung,

Page 14: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

109

Abstract

Recently, orthogonal frequency-division multiplexing (OFDM) technique has been widely applied in many

wireless communication systems due to the multi-path immunity characteristic and high spectrum efficiency. In

the OFDM systems, fast fourier transform (FFT) processor is a module requiring high processing complexity. The

overwhelming majority of the system power is consumed by such a module. As a result, an FFT processor with

low power dissipation can be used to effectively extend the lifespan of the OFDM-based portable devices. Most of

the OFDM systems so far support multi-point FFT and certain of them have been with the multi-input multi-output

(MIMO) technique. In this thesis, a low-power and variable-length FFT processor is proposed for flexible MIMO-

OFDM applications. The memory involved in the proposed FFT processor can provide with a best utility rate as

it has been designed to allow variable combinations of the FFT size and numbers of input and output sequences

in MIMO system. On the considerations of reducing power dissipation and hardware implementation overhead,

a scaling method has been proposed to save the memory up to 128 Kbits by properly scheduling the available

single-port memory and using ping-pong cache architecture. Designed in a TSMC 0.18-µm process, the proposed

design can support 1024, 2048, 4096, and 8192 points for flexible MIMO applications. The proposed design can

support 1024, 2048, 4096, and 8192 points for flexible MIMO applications, and is fabricated in a 0.18-μm CMOS

technology. The core area of the proposed FFT processor is 4.91 mm2. Under an 8192-point Serial-input Serial-output (SISO) mode, the power dissipation of the proposed FFT processor is 20.88 mW at 10 MHz clock rate.

H3

Speed Binning and Calibration Mechanism for On-Chip Self Functional TestIC NO.: T18-98B-37

Advisor: Ching-Hua Cheng

Designer: Shi-Yi Jung

Abstract

The testing of system design integrated circuits is highly complex. There are many test challenges generated from

at-speed delay testing requirements. BIST circuit can help to solve traditionally slower ATE tester problems. In

this paper, a double edge clipping technique is proposed for at-speed BIST testing. It differs from traditional circuit

delay testing techniques by changing the clock rate using external ATE. This method uses lower-speed input clock

frequency, then applies internal BIST circuit to adjust clock edges for circuit high-speed delay testing. Test chips

are fully validated. The postlayout simulations show that the wide-range (26.5%~76%), fine-scale (16ps) duty

cycle adjustment technique with high-precision (28ps) calibration circuit is effective for at-speed delay testing and

performance binning.

Page 15: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

110

CIC ANNUAL REPORT 2009

H4

Design of Low Power Dual-Path PS-LDPC DecoderIC NO.: T18-98C-04a

Advisor: Hong-chin Lin

Designer: Hung-Ren Wang

Abstract

In this project, the design for low power high throughput dual-path PS-LDPC decoder is presented. The (512, 1024)

check matrix is a regular matrix whose column weight and row weight are 3 and 6, respectively. There are four

units including a variable node unit (VNU), a check node unit (CNU), and two message storage units. The message

storage unit is composed of shift registers and multiplexers. The min-sum algorithm was applied in the CNU.

With the specially designed PS-LDPC code, the proposed partial parallel architecture using shift registers instead

of demultiplexers and registers for message storage can reduce the hardware cost, routing congestion and

critical path delay, which resulting lower power consumption. During decoding process of the traditional decoding

method, the CNU and VNU operations are active alternatively in a decoding iteration. To increase the throughput,

these idled CNU and VNU circuit blocks can be utilized more efficiently using the dual-path data flow approach.

Therefore, the throughout is increased to almost two times.

After implemented with TSMC 0.18μm CMOS process, the proposed decoder can achieve the decoding

throughput of 2.275Gbps at the clock frequency of 80MHz. The chip size is 11.9mm2, the core size is 5.98mm2 and the average power consumption with the supply voltage of 1.62V is 280mW.

Page 16: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

111

H5

Design and Implementation of Motion Estimation Architecture Based on Coarse-to-Fine Algorithm in H.264/AVC for HDTV 720p ApplicationsIC NO.: T18-97D-06a

Advisor:Yeong-Kang Lai  

Designer: Sheng-Yu Huang

Designer:Lian-Fei Chen

Abstract

In this project, a H.264/AVC integer motion estimation (IME) architecture based on coarse-to-fine fast algorithm

is proposed. In the first instance, we propose a hardware friendly coarse-to-fine fast algorithm to reduce the

computational complexity and to obtain the regular data flow with fine rate distortion (RD) performance. Our fast

algorithm consists of two procedures. The fist procedure, called coarse step, employs the candidate-block down-

sampling and the multi-level successive elimination algorithm (MSEA) with fixed 16×16 block-matching search to

rapidly find several possible regions within the searching range. Afterwards, the local full-search with VBSME is

performed at these possible regions to calculate the minimum sum of absolute difference (SAD) of the 41MVs.

In the light of the proposed fast algorithm, our IME architecture comprises the memory modules for current block

data and reference frame pixels, the pixel sum array, the cluster array with 64 processing elements (PEs), and

the SAD calculation unit. The pixel sum array adds up the pixel values of all subblocks with efficient data reuse

scheme to reduce the internal memory bandwidth. The cluster array consists of 64 PEs to perform the 64 absolute

differences (AD) in parallel. In addition, the memory interleaving technique is presented to efficiently access the

search area data from the memory modules to calculate the SAD values for both coarse step and fine step. The

chip implementation result shows that the proposed architecture can accomplish the real-time video coding up to

HDTV720p resolution at 60 MHz working frequency with TSMC 0.18μm 1P6M process.

Page 17: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

112

CIC ANNUAL REPORT 2009

The Honorable Mention Design Award of Analog

H6

Design and Implementation of High Speed EqualizerIC NO.: T18-98C-28

Advisor: Guo-Shing Jeng

Designer: Yu-Chang Tsai

Designer: Yen-Hsueh Wu

Abstract

In this project, an inductorless analog equalizer is proposed for PCI Express Generation II system. This

architecture combines spectrum-balancing technique, by ideal data spectrum own characteristic, to determine

the real data contained high and low frequency energy is normal or not. So can eliminate regulating comparator,

to solve regulating comparator input and output swing mismatch problem. In the part of equalizing filter proposes

low voltage zero generator (LVZG) to equal the inductor behavior, so can generate high frequency gain boosting

without cascading filter stages. Because it don’t use passive inductor, so can save many area. Because

conventional power detector (POD) suffers from small output swing, the proposed POD combines current steering

technique and pre-amplifier circuit to enhance the voltage swing, and relaxing the gain requirement of the error

amplifier. Using low-pass filter (LPF) and all-pass filter (APF) as determine mechanism can make the spectrum-

balancing technique easier to design. In the part of output buffer adds RC-degeneration to enhance bandwidth.

The test chip is fabricated in TSMC 0.18µm 1p6m CMOS process. The measurement results show that three

kinds of input patterns: Compliance, PRBS 27-1, PRBS 231-1, and data rate 5-Gb/s under 1.1-m, 1.3-m channel.

It works at power supply 1.6-V with 17.6-mW (excluding output buffer). Input data is PRBS 231-1, and its output

peak-to-peak jitter is 0.28-UI. The core area is 0.1-mm2 (including output buffer).

Page 18: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

113

H7An Output-Ripple-Mode Control DC-DC Buck Converter without Using Large ESR Capacitor Advisor: Ke-Horng Chen

Designer: Yung-Chih Lai

Abstract

The proposed V2-control buck converter can maintain fast transient response even with low equivalent series resistance (ESR) output capacitor. In addition, using voltage-controlled current source circuit in instead of op-

amp-based differentiator not only reduces area but also decreases power consumption. The high performance V2-control dc-dc buck with faster transient responses is implemented by TSMC 2P4M 0.35-μm CMOS technology.

The constant switching frequency is 1MHz and output capacitor is 4.7 μF with 30 mΩ ESR. The experimental

results show that load transient recovery time is around 20 μs under 300 mA load steps and output voltage ripple

is about 30 mV. No ringing occurs at low ESR value condition.

H8

A Bidirectional sensing and pacing system for RF-coupling pacemakerIC NO.: D35-98B-02

Advisor: Shuenn-Yuh Lee

Designer: Chih-Ren Jeng

Designer: Yu-Jeng Su

Page 19: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

114

CIC ANNUAL REPORT 2009

ABSTRACT

A wireless telemetry using the near-field coupling technique with round-wire coils for the implanted pacemaker

is presented. The proposed system possesses an external powering amplifier and an internal bidirectional

microstimulator. Even with a low induced voltage, all the circuitry associated with the implantable stimulator

are operated normally by the coupling power interface where includes an efficient rectifier, one fully-integrated

regulator, and a charge pump. A miniature digital processor including the PSK demodulator and a self-protective

system controller are in charge of decoding the transmission data and handling the operation of the whole system,

respectively. To acquire the cardiac signal, a low-voltage and low-power monitoring analog front end (MAFE)

performs the functionality of immediate threshold detection and data conversion with a low-cost chip area. In

addition, the pacing circuits responsible for stimulating heart tissue are implemented to overcome the huge

voltage difference between the pulse generator (PG) and its digital-to-analog (D/A) controller.

H9

Differential 8Vpp OC-768 EML DriverIC NO.: T13RF-98A-091b

Advisor: Jen-Ming Wu

Designer: Fanta Chen

Designer: Yu-Hao Hsu

Designer: Min-Sheng Kao

Abstract

A variety of applications, including telecommunication and data communication are demanding more transmission

links at 10Gb/s or above. In such applications, the laser driver ICs should employ high breakdown transistors in

the output stage to ensure sufficient extinction ratio under high speed and large output voltage swing performance.

To achieve the above requirements, the driver ICs are usually implemented with SiGe and Si bipolar. However, it

would be desirable to realize the laser driver IC in CMOS-based technology. The typical low breakdown voltage

of the CMOS transistor makes it hard to compete with its SiGe HBT. A different design methodology known as the

series-connected voltage balancing (SCVB) network was showed improvement on output swing. Nonetheless, the

power consumptions of the above drivers are usually in several watts and the chip areas are usually very large.

Few 40Gb/s CMOS driver ICs with output swing over 3VPP were reported. This paper presents a 40-Gb/s laser

Page 20: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

115

H10

A cost effective BIST - ADC for the wireless test platformDesignerIC NO.: T18-98C-09a

Advisor: Hao-Chiao Hong

Designer: Shau-Feng Hong

Abstract

This design implements the first fully-integrated built-in self-test (BIST) - analog-to-digital converter (ADC) for the

wireless test platform in TSMC 0.18µm mixed-mode CMOS process. This design also combines cell-based design

flow with full custom design flow. The decimation filter and the BIST circuit of the test chip is designed by cell-

based flow. After APR, the cell-based digital circuit is put into the full custom design environment and combined

with the - modulator which is designed by full custom flow. As a result, the complexity of the design is reduced,

and the design is more robust.

The design-for-digital-testability (DfDT) technique is applied to the - modulator of the ADC under test. The DfDT

structure converts the - modulated bit-stream to the required analog stimulus. Sine it is easier to generate the

digital stimulus than the analog one, the complexity of the BIST design is reduced.

The BIST design is based on the controlled sine-wave fitting (CSWF) method. The CSWF method achieves the

functional test and provides test results such as offset error、gain error、signal-to-noise-and- distortion ratio

(SNDR). Since the CSWF method calculates the test results in time domain, the hardware cost and the complexity

of the CSWF method are mach less than those of the Fast Fourier Transform (FFT) analysis in frequency domain.

Furthermore, the project HOY provides a low-cost wireless test platform. By combining the BIST design and the

wireless test platform, the test chip can be made wireless and built-in testable. The project HOY also provides a

automatic test program. What we do is just press the Enter in the notebook, the dynamic range and frequency

response tests will be done quickly. The troublesome ADC test becomes cheap, easy, and fast.

The BIST measurement results of the test chip show the test bandwidth is 17kHz which is very close to 20kHz,

the signal bandwidth of the - ADC under test. Since the dynamic range is as high as 85.5dB, the resolution is 14-

bit. The peak SNDR is 79.1dB while the BIST design only contains 9.9k gates. We achieve the goals of low-cost

and high-performance design successfully.

driver IC in 0.13μm CMOS technology. The novel intrinsic drain-gate capacitance feedback network (IDGCFN)

is incorporated into the cascade circuit configuration at the output stage. This work has been implemented in a

0.13μm CMOS technology. The total power consumption of the interface is only 0.65W. The area is 1.1 x 1.1 mm².

This circuit can operate at 40Gb/s with 4Vpp.

Page 21: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

116

CIC ANNUAL REPORT 2009

H11

Study of Low Loss Bandpass Filter Using Improved Transmission Zero Technology for 60 GHz WLAN And 77 GHz Car Radar System Applications. IC NO.: T13RF-97C-016

Advisor: Yo-Sheng Lin

Designer: Chin-Fa Chang

Abstract

IIn this paper, we demonstrate a miniature low-insertion-loss V-band (50-75 GHz) bandpass filter with two finite

transmission zeros by standard 0.13 mm CMOS technology. The proposed filter architecture has the following

feature: the low-frequency transmission-zero and the high-frequency transmission-zero can be tuned by the

series-feedback capacitor Cs and the parallel-feedback capacitor Cp, respectively. Besides, low-insertion-loss was

achieved by adopting thick microstrip-line (MSL) with optimized ground-plane pattern as the needed inductors to

minimize both the metal loss and the substrate loss (justified by removing the silicon underneath a test filter). Over

the frequency range of 49.5-82.5 GHz, this filter achieved insertion-loss (1/S21) lower than 3 dB, and input return

loss (S11) and output return loss (S22) better than –10 dB. The minimum insertion-loss was 1.87 dB at 66.5 GHz,

a state-of-the-art result for a V-band CMOS bandpass filter. The chip area was only 0.466×0.307 mm2, i.e. 0.143 mm2, excluding the test pads.

H12

GSM/WCDMA Continuous-Time Quadrature Bandpass Delta-Sigma ADC with I/Q Mismatch Shaping TechniqueIC NO.: T18-98A-28

Advisor: Tsung-Hsien Lin

Designer: Cheng-Yan He

Page 22: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

117

Abstract

We proposed a Dual-mode GSM-EDGW/ WCDMA Continuous-Time Quadrature Bandpass ΔΣ Modulator with

0.18μm CMOS process to achieve the desired resolution. Based on the low power design issue, we utilize

backend I/Q mismatch shaping technique with digital circuit- Pseudo-random Quadrature Mismatch Shaping

(PQMS) to average mismatch error occurred between I/Q DACs feedback paths and decreasing I/Q mismatch

effect in modulator to get high Image Rejection Ratio (IRR).

H13

An All-Digital Delay-Lock Loop with Fast Lock Variable SAR Algorithm And Recursive binary search AlgorithmIC NO.: T18-98A-67

Advisor: Rong-Jyi Yang

Designer: Deng-Hau Jung

Abstract

Conventional Analog DLL circuits demand large capacitors, and the lock time is too slow. In contrast, the adddl

circuits can use binary searching to speed up the lock time, but the characteristics of open-loop results in a major

detect/flaw since it can not avoid the variation of PVTL; At the same time, applying binary searching to a wide

range of dll results in the harmonic lock problem, harmonic lock of dll will greatly reduce the performance of clock

jitter, and the lock time increase with the extended frequency range.

The drawbacks stated above motivate us to find a better solution. By combining two different algorithms with

binary searching to do Phase-Lock, An ADDLL can achieve an operating frequency range of more than ten-

fold and to overcome the problem of harmonic lock , significantly shorten the lock time, and reducing the

power consumption.

Page 23: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

118

CIC ANNUAL REPORT 2009

H14

6-Gbit/s SATA Spread Spectrum Clock GeneratorIC NO.: T18-97D-26

Advisor: Hong-Yi Huang

Designer: Wei-Sheng Tseng

Abstract

The 6-GHz SSCG fits the SATA specification. Its fully differential structure not only dramatically reduces LPF

area and cost, but also immunizes common mode supply noise. The proposed frequency-monitor current mode

divider can change its dividable range by altering control voltage. Thus, it achieves larger input frequency range.

To simplify the design and to overcome the triangular nonlinearity issue, a two-stage delta-sigma modulator was

proposed. The peak of the output clock spectrum from the VCO is reduced by 16.3 dB at 6-GHz. The test chip

was fabricated in TSMC 0.18 1P6M process, which core area is 0.11µm2. The power consumption at 6-GHz is only 35 mW with 1.8 V supply.

H15

A Current-Mode DC-DC Buck Converter with Variable Frequency ControlIC NO.: D35-98D-21

Advisor: Tai-Haur Kuo

Designers: Chia-Ming Liu

Page 24: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

119

Abstract

A current-mode buck converter with integrated analog variable-frequency controller (VFC) is implemented in this

chip. VFC changes the switching frequency according to the load current and optimizes DC-DC converter’s power

efficiency for a given (or rated) voltage ripple. The analog VFC controller can be easily integrated into the chip

without complex controller circuit. By improving the load current sensor of this design, controller power-loss can

be saved and thus we can achieve the design of low-cost and high-efficiency current-mode buck converter. The

VFC technique is applied to current-mode switching DC-DC converter in this design. The chip is fabricated with

TSMC 0.35µm 2P4M 3.3V Mixed-Mode CMOS process. Comparing with the recently-published literatures, this

chip can achieve 91% power efficiency by consuming only 1.05mm2 silicon area. According to package house’s

data, 96% efficiency can be reached by reducing the parasitic resistance of the bonding wire. Such performance

is better than the published literatures.

H16

A 10-bit 50-MS/s "Split" Pipelined A/D Converter with Opamp-Sharing Technique and Digital Background Calibration AppliedIC NO.: D35-98A-28

Advisor: Tai-Cheng Le

Designer: Li-Hang Hung

Abstract:

In this project, a digital background calibration technique based on the split-ADC is proposed to correct linear

errors in a pipelined ADC, which allows the use of low-gain opamps in conversion stages. Raw outputs of the

designed ADC show a SNDR and a SFDR of merely 35.3dB and 37.3dB. As the associated errors are adaptively

removed by the proposed technique, the SNDR and the SFDR are improved to the level of 55.2dB and 67dB. The

time to convergence is less than 10ms under 50MS/s conversion rate. Fabricated in 0.35μm CMOS process, the

core of this split pipelined ADC occupies 1.64mm2. The introduction of opmpa-sharing technique effectively reduce the core power consumption to 45mW from a 3V supply voltage at 50MS/s.

Page 25: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

120

CIC ANNUAL REPORT 2009

H17

Highly Accurate Duty Cycle Corrector Based On Successive Approximation RegisterIC NO.: T18-98A-107

Advisor: Poki Chen

Designer: Shou-Chih Chen

Abstract

Nowadys the reference clock of electronic systems or chips is usually generated by phase-locked loop (PLL) or

delay-locked loop (DLL). Due to the process, voltage and temperature (PVT) variations, the duty cycle of PLL or

DLL output can be hardly achieved as 50%. It will seriously deteriorate the performance of the systems whose both

rising and falling edges are used for triggering or strobing, such as Double data rate SDRAM, DDR SRAM, Double-

Sampling ADC, Dynamic logic, Clock Data Recovery. The performance of the above systems can be substantially

improved by adopting duty cycle correctors to calibrate the duty cycle of the reference clock back to 50%.

Conventionally, the duty cycle correctors can be further divided into analog type and digital type. The digital DCC

can also be sub-divided into non-feedback type and feedback type. Digital DCCs of both types own fast locking

capability at the expense of relatively poor accuracy. The analog DCC is usually implemented as feedback type

whose locking speed is slow owns comparatively high accuracy.

H18

Design and Implementation of Fully Integrated CMOS Rectifier with Load Shift Keying for Wirelessly Powered Bioimplantable ApplicationsIC NO.: T18-98A-29

Advisor: Muh-Tian Shiue

Designer: Tsuen-Shiung Kung

Page 26: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

121

Abstract

This project aims for realizing and experimentally verifying a full-wave rectifier which possesses high conversion

efficiency. By using a pair of special and refined active diodes and a pair of power transistors with negative-

impedance characteristics in configuration, proposed can have ultra-low conversion loss, thereby increasing the

maximum coupling distance between the external platform and internal implant without the need of increasing the

transmitted power. In addition, owing to the full CMOS design, proposed has not only excellent integration ability,

but also reproduction capability without being restricted by the process. In addition, by incorporating a novel load

modulation design, tapeouted can maximize the detectable impedance change in the transmitter without switches

with large implementation area. Maximizing the detectable impedance change is largely helpful to the increase in

the coupling distance of the transceiver.

The Honorable Mention Design Award of RF

H19

A 60-GHz Millimeter-Wave CMOS Integrated On-chip Yagi-antenna and Bandpass FilterIC No.: T18-98A-174

Advisor: Huey-Ru Chuang

Designer: Pei-Jiun Kuo,

Abstract:

This report presents a 60 GHz millimeter- wave CMOS integrated on-chip Yagi-antenna and bandpass filter

fabricated with a 0.18-mm CMOS process. A feeding network is designed in coplanar waveguide (CPW)

technology. The 0.18-μm 6-metal-layer CMOS process allows the on-chip antenna to utilize a simple coplanar

waveguide (CPW) to coplanar stripline (CPS) feed transition and the first metal-layer to implement a reflector strip.

The filter is used bandpass filter to integrate with Yagi antenna. An FEM-based 3-D full-wave EM solver, HFSS,

is used for design simulation. The measured chip input S11 is less than -10 dB from 57 to 64 GHz. The simulated antenna radiation efficiency at 60 GHz is about 2.1 % due to the CMOS substrate loss. The front-to-back ratio of

the antenna is about 14 dB. The measured maximum antenna power gain is about -15.2 dBi. The CMOS chip size

is 1.1 mm × 1.4 mm.

Page 27: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

122

CIC ANNUAL REPORT 2009

H20

A Compact 60GHz Band-pass Filter with Ground Plane Raise Technique IC NO.: T18-98A-112

Advisor: Sheng-Fuh Chang

Designer: Yi-Ming Chen

Abstract

This research proposed a novel raised-ground plane technique for miniaturizing CMOS bandpass filter in the

millimeter-wave range. The measured insertion loss of the passband is 3.4 dB and the return loss better than

12 dB at 60 GHz. The stopband suppression is greater than 27 dB for f <40 GHz and 23 dB for f > 90 GHz. The

chip size is only 0.37×0.2 mm2 (excluding GSG pad), which is comparable to CMOS low-noise amplifiers and drastically smaller than the CMOS bandpass chips in literatures.

H21

X-band High Efficiency Power Amplifier with Wide Power Bandwidth IC NO.: T18-98c-036

Advisor: Huei Wang

Designer: Bing-Sung Ji

Page 28: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

123

Abstract

A 9.5-GHz 0.18-μm CMOS high-PAE power amplifier is described in this report. The circuit uses broadband input and output matching to reach the design of high PAE and wide bandwidth at output power and PAE. The circuit has 25.8% PAE at 9.5 GHz, 23.8-dBm output power, and 1-dB power bandwidth from 7.8 to 11 GHz. Besides, all PAE at this bandwidth exceed 20%. The chip size is 0.47 mm2, and this is a smallest chip among the reported references at X-band.

H22

Millimeter-wave star mixer using wider-band bulun and 2-to-1 transformersIC NO.: Sig35-98A/10

Advisor: Chin-Chun Meng

Designer: Da-Wei Wang

Abstract

This design achieve tri-filar transformers in integration silicon substrate. Employ the triple balanced star mixer as a

down-converter for 40GHz application.

Page 29: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

124

CIC ANNUAL REPORT 2009

H23A Low-Voltage/Low-Power Low-Noise Amplifier for 3–5 GHzUltra-widebandSystemIC NO.: T18-98A-117

Adv i so r : Jenn -Hwan Ta rng

Designer: Jen-Ming Li

AbstractA low-power low-noise amplifier (LNA) implemented in 0.18-μm CMOS technology utilizing a self forward body

biased (SFBB) technique is proposed for UWB low-frequency band system. By using the SFBB techniques, it

reduces supply voltage as well as saves additional biased circuits, which leads to a low power consumption of 4.5

mw with low supply voltage of 1.06 V for two drain-to-source voltage drops. The complementary configuration and

inter-stage direct coupling technique also save biased circuits. The measurement result shows that the LNA also

presents a maximum power gain of 16 dB with a good input match (S11< -10 dB) and an average noise figure of

2.6 dB in the frequency range of 2-6 GHz.

H24

A 40 GHz Metamaterial based chip antenna design IC NO.: D35-97D-37m

Advisor: Chia-Chang Chang

Designer: Jiun-Chi Lin

Page 30: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

125

Abstract

In this project, we present a compact CMOS chip antenna for on-chip radios. The proposed antenna is constructed

by single unit-cell of composite right/left-handed transmission line. According to the zeroth-order resonance, the

antenna size is determined by the equivalent lumped circuit elements instead of the wavelength, leading to the

beneficial size reduction. The antenna is fabricated using 0.35-μm CMOS-MEMS technology with partial substrate

removal for gain enhancement. The antenna size is 0.74×0.32 mm2, and the overall chip size is 1.18×0.74 mm2

with dummy metals. The measured return loss is 6 dB at 40 GHz and the measured radiation gain estimated by

Friis’ transmission formula is -16.75 dBi.

H25

Realization of a self-bias high-performance LNA followed by a BPF with 0.18-μm CMOS Technology IC NO.: T18-98A-50

Advisor: Yi-Chyun Chiang

Designer: Jin-Lung Yang

Abstract

A highly stop-band rejection low noise amplifier (LNA) realized by combining a on-chip bandpass filter (BPF),

intended for using in digital video broadcasting satellite (DVB-S), which were fabricated in the TSMC 0.18µm

CMOS technology is presented. The two-stage LNA is constructed based on the source-degenerated cascode

topology resulted from its superior noise performance and reverse isolation. This BPF merge a controllable cross-

coupled mechanism so that two transmission zeros located at lower and upper stopbands can be generated for

suppressing external interferences in stopbands. Experiment results demonstrated a maximum power gain of 20.5

dB at 11.5 GHz, a minimum NF of 3.64 dB, and an IIP3 of -22 dBm while only drawing 10.2 mA from a 1.8V supply.

Page 31: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

126

CIC ANNUAL REPORT 2009

H26

5-15GHz Darlington PAIC NO.: T18-98A-19

Advisor: Huei Wang

Designer: Ping-Sung Chi

Designer: Zuo-Min Tsai

Abstract

In this report, a 4-17 GHz 0.18-μm CMOS power amplifier is designed. The Darlington cascode topology is used

to achieve wide bandwidth, flatness gain and power frequency response. For wideband matching consideration,

interstage inductor and series peaking RL circuit are added. Output high pass matching circuit is used to maintain

gain and power flatness at high frequency. The measured results show that the proposed PA demonstrates a

gain of 8-dB from 4 to 17 GHz, and a saturation output power of 16 to 18-dBm at designed frequency. The PAE

performance are all better than 10% with a small chip size of 0.67 mm2.

H27

The new type Voltage-Controlled Oscillator with Colpitts IC NO.: T13RF-97C/33b

Advisor: Sheng-Lyang Jang

Designer: Yi-Je Sung

Page 32: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

127

Abstract

A new differential voltage-controlled oscillator (VCO) is designed and implemented in a 0.13μm CMOS 1P8M

process. The designed circuit topology is an all nMOS LC-tank Clapp VCO using a series-tuned resonator. At the

supply voltage of 0.9 V, the output phase noise of the VCO is -110.94 dBc/Hz at 1MHz offset frequency from the

carrier frequency of 18.768 GHz, and the figure of merit is -189.08 dBc/Hz. The core power consumption is 5.4 mW.

Tuning range is about 3.43 GHz, from 18.76 to 22.19 GHz, while the control voltage was tuned from 0 to 1.3 V.

H28

A High Performance Ultra-Low Voltage 0.25V Quadrature VCO Implemented with DTMOS IC NO.: UN90-97B/08

Advisor: Sheng-Lyang Jang

Designer: Juang-Ren Huang,

Abstract

A voltage-controlled oscillator (VCO) is proposed and implemented in the UMC 90nm Logic & Mixed-Mode 1P9M

process. The proposed circuit topology consists of twin PMOS VCO structure, and the quadrature output signal

produces by injecting the output signal from each VCO core into the MOSFET’s body nod. The MOSFET of PMOS

cross-coupled is presented by connecting the BODY nod and the Drain nod through a resistor, it can reduce the

threshold voltage of the MOSFET effectively and make the proposed circuit can work at an ultra-low voltage. At

the supply voltage of 0.22V, the output phase noise of the VCO is -114.71dBc/Hz at 1MHz offset frequency from

the carrier frequency of 3.549GHz, and the FOM (figure of merit) is -190.53dBc/Hz. The power consumption of

VCO core is 0.33mW. Tuning range is about 185MHz, from 3.417GHz to 3.602GHz, while the control voltage was

tuned from 0V to 0.3 V.

Page 33: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

128

CIC ANNUAL REPORT 2009

The Honorable Mention Design Award of MEMS

H29

A Novel Wet-etching 3-axis Accelerometer with Low Parasitic CapacitanceIC NO.: D35-97D-39

Advisor: Wei-Leun Fang

Designer: Ming-Han Tsai

Designer: Yu-Chia Liu

Abstract

This study mainly uses the metal wet-etching post process to improve the performance of CMOS-MEMS

capacitive accelerometers. Traditional CMOS-MEMS accelerometers use the dry-etching process mostly to define

and release the structures. The structure and capacitance line width design is restricted by the etching equipment

ability and the metal hard mask used to protect the sensing circuit induces parasitic capacitance to influence the

accelerometer performance. The wet-etching method proposed in this study can fully utilize the CMOS fine line

width ability to design whole structures. The circuit is protected by silicon dioxide and the parasitic capacitance is

reduced and the performance is improved.

Page 34: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

129

H30

A capacitive tactile sensor with tunable loading range/sensitivityIC NO.: D35-98A-01

Advisor: Wei-Leun Fang

Designer: Yu-Chia Liu

Designer: Ming-Han Tsai

Abstract

Presently, there are many different fabrication processes for Micro-Electro-Mechanical System (MEMS). However,

the standard fabrication process is a major factor which plays an important role in MEMS. Through CMOS

process, it can be integrated micro structures and sensing circuits on a single chip. Using this technology, there

are many advantages which including small line spacing, batch process, and system integration. Therefore, many

CMOS devices are multifunctional technologies that can be used in the research significantly.

In this study, a capacitive type CMOS-MEMS tactile-sensor containing a sensing gap filled with polymer. The

fabrication process allows the changing of polymer material easily. Thus, the characteristics (sensing range,

sensitivity) of the CMOS-MEMS tactile-sensor can be easily tuned by varying the polymer material. In application,

the tactile-sensor and sensing circuits have been designed and implemented using (1) TSMC 0.35μm 2P4M

CMOS process, and (2) in-house post-CMOS releasing and polymer-filling processes. Measurement results

demonstrate the sensitivity and sensing range of CMOS-MEMS tactile-sensor are easily tuned by changing the

polymer materials.

Page 35: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

130

CIC ANNUAL REPORT 2009

ISSCC2010

IC Number School Name Advisor Designer

UN90-97C-14 NTHU Meng-Fang, Chang Chih-Wei, LiangChih-Chyuang, Chiang

D35-98B-120s

NTUSKHNTUTNTUNTUNTU

Chii-Wann, LinHung-Wei, ChiuWen-Pin, ShihYao-Joe, YangShey-Shi, Lu

Mu-Lien, LinYeong-Ray, WenChi-Heng, ChangI-Hsiu, HoPo-Hsiang, FangYi-Chin, LiChang-Lun, WangYao-Chuan, Tsai

2010 CIC Outstanding Chip Design Award Special Achievement

2010 CIC Outstanding Chip Design Award Best Design

Field IC Number School Name Advisor Designer

Digital

UN90-98B-12a NTU An-Yeu (Andy) Cheng-Hung, LinEn-Jui, Chang

UN90-98A-02a NTU An-Yeu (Andy) Yen-Liang, ChenTing-Jyun, Jheng

T18-98C-14a NCU Jin-Fu, Li Tsu-Wei, Tseng

Analog

UN90-98A-10 NCKU Soon-Jyh, Chang Chun-Cheng, Liu

T13RF-97D-31 NCU Kuo-Hsing, Cheng Kai-Wei, Hong

T18-98B-58 NCKU Soon-Jyh, Chang Jin-Fu, Lin

MEMS

T13RF-98A-002b NCHU Ching-Yuan, Yang Chih-Hsiang, Chang

T13RF-97C-62b NTU Juei Wan Kun-You, LinChun-Hsien, LienPin-Cheng, Huang Kun-Yao, Kou

T18-98B-38 NCCU Sheng-Fuh, Chang Ting-Yueh, Chin

RF

D35-98A-02 NTHU Sheng-Shian, Li Weileun, Fang Wen-Chien, Chen

D35-98A-23 NCHU Ching-Liang, Dai Bu-Wai, Lu

D35-97D-26 NCHU Ching-Liang, Dai Pin-Hsu, Kao

Research-Oriented Chips in 2010 CIC MPC Services

Page 36: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

131

Project name

A 0.29V Embedded NAND-ROM in 90nm CMOS for Ultra-Low-Voltage Applications

Pain Control On Demand Based on Pulsed Radio-Frequency Stimulation of the Dorsal Root Ganglion Using a Batteryless Implantable CMOS SoC

Project name

High-Throughput Reconfigurable Convolutional Turbo Decoder Design for Future 4G Wireless WAN Systems

Low Power and Reconfigurable SVD Engine Design for IEEE 802.11n Standard

Online transparent built-in self-repair techniques for RAMs

A 1.3mW 100 MS/s 10bit SAR ADC

A New All-Digital High-Resolution Synchronous Mirror Delay with Arbitrary Duty Cycle

10-bit 100-MSPS Pipelined Analog-to-Digital Converter using low-gain amplifiers

A Low Voltage Frequency Synthesizer with a Fractional phase-rotating

60GHz Double-balanced Hetrodyne Active Gate Mixer

A Sub-1V Low-Power 24-GHz Phased-Array Receiver

Monolithic CMOS-MEMS Oscillators

Improved FET Micro-pressure sensor integrated with RF transmitter

Micro mirror array integrated with heap pump circuit

Page 37: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

132

CIC ANNUAL REPORT 2009

Field IC Number School Name Advisor Designer

Digital

UN90-98B-12a FCU Ching-Hua, ChengYu-Tzn, TsaiShuo-Wen, Chang Cheng-chih, Tsai

T18-97D-09a NCU Muh-Tian, Chiue Chun-Lung, Hung

T18-98B-37 FCU Ching-Hwa, Cheng Chen-I, ChungJyun-Sian, Lin

T18-98C-04a NCHU Hong-Chin, Lin Hong-Ren, Wang

T18-97D-06a NCHU Yeong-Kang, Lai Shien-Yu, HuangLien-Fei, Chen

Analog

T18-98C-28 NCU Kuo-Hsing, Cheng Yu-Chang, Tsai Yen-Hsueh, Wu

D35-98B-10 NCTU Ke-Horng, Chen Yung-Chih, Lai

D35-98B-02 NCCU Shuenn-Yuh, Lee

Chih-Jen, ChengYu-Cheng, SuWei-Chung, KaoCheng-Ping, WangChung-Ming, Yang

T13RF-98A-091b NYHU Jen-Ming, WuMin-Sheng, KaoYu-Hao, Hsu Fanta, Chen

T18-98C-09a NCTU Hao-Chiao, Hong Shao-Feng, Hung

T13RF-97C-16b NCNU Yo-Sheng, Lin Jin-Fa, Chang

T18-98A-28 NTU Tsung-Hsien, Lin Chen-Yen, Ho

T18-98A-67 NTUT Rong-Jyi, Yang Deng-Hao, ZhongT18-97D-26 NTU Hong-Yi, Huang Wei-Sheng, Tseng

D35-98D-21 NCKU Tai-Haur, Kuo Jia-Ming, LiuPai-Yi, Wang

D35-98A-28 NTU Tai-Cheng, Lee Li-Han, Hung

T18-98A-107 NTUT Poki, Chen Shou-Zhi, Chen

T18-98A-29 NCU Muh-Tian, Chiue Cihun-Siyong Alex , Gong

MEMS

T18-98A-174 NCKU Huey-Ru, ChuangPei-Chun, Kuo Lung-Kai, Yeh Kai-Hsiang, Tsai

T18-98A-112 NCCU Sheng-Fuh, Chang Yi-Ming, Chen

T18-98A-19 NTU Huei, WangKun-You, Lin

Ping-Sung, ChiZuo-Min, TsaiJing-Lin, Kuo

T13RF-97C-33B NTUT Huey-Ru, Chuang Yi-Jhe, SongUN90-97B-08 NTUT Sheng-Fuh, Chang Chuang-Jen, Huang

D35-97D-39 NTHU Huei, WangKun-You, Lin

Ming-Han, Tsai Yu-Chia, Liu

D35-98A-01 NTHU Sheng-Lyang, Jang Yi-Jhe, Song

2010 CIC Outstanding Chip Design Award Best Design

Page 38: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

133

Project name

A Fully Synthesizable Design Flow for High-Speed Dual-Phase Dynamic Logic

An FFT processor design supporting variable-length and flexible MIMO OFDM for DVB-V application

Speed Binning and Calibration Mechanism for On-Chip Self Functional Test

Design of Low Power Dual-Path PS-LDPC DecoderDesign and Implementation of Motion Estimation Architecture Based on Coarse-to- Fine Algorithm in H.264/AVC for HDTV 720p Applications

Design and Implementation of High Speed Equalizer

An Output-Ripple-Mode Control DC-DC Buck Converter without Using Large ESR Capacitor

Bidirectional sensing and pacing system for RF-coupling pacemaker

Differential 8Vpp OC-768 EML Driver

A cost effective BIST Sigma-Delta ADC for the wireless test platformStudy of Low Loss Bandpass Filter Using Improved Transmission Zero Technology for 60 GHz WLAN And 77 GHz Car Radar System ApplicationsGSM/WCDMA Continuous-Time Quadrature Bandpass Delta-Sigma ADC with I/Q Mismatch Shaping TechniqueAn All-Digital Delay-Lock Loop with Fast Lock Variable SAR Algorithm And Recursive binary search Algorithm6-Gbit/s SATA Spread Spectrum Clock Generator

A Current-Mode DC-DC Buck Converter with Variable Frequency Control

A 10-bit 50-MSample/s`split´ pipelined analog-to-digital converter with opamp-sharing/switching technique and digital background calibration appliedHighly Accurate Duty Cycle Corrector Based On Successive Approximation RegisterDesign and Implementation of Fully Integrated CMOS Rectifier with Load Shift Keying for Wirelessly Powered Bioimplantable Applications

A 60-GHz Millimeter-Wave CMOS Integrated On-chip Yagi-antenna and Bandpass Filter

A compact 60GHz band-pass filter with ground plane raise technique

[email protected];[email protected];

[email protected];[email protected];

[email protected];[email protected];

[email protected];[email protected];

[email protected];[email protected];

Page 39: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

134

CIC ANNUAL REPORT 2009

Research-Oriented Chips in 2010 CIC MPC Service

D35: TSMC 0.35μm Mixed-Signal 2P4M Polycide 3.3/5V

MEMS35: 0.35μm CMOS MEMS Post-Process

BioMEMS35: 0.35μm CMOS BioMEMS Post-Process with gold

SiG35: TSMC 0.35μm BICMOS Mixed Signal SiGe General Purpose Standard Process USG

Al 3P3M 3.3V

T18: TSMC 0.18μm CMOS Mixed Signal RF General Purpose Standard Process FSG Al

1P6M 1.8&3.3V

MEMS18: 0.18μm CMOS MEMS Post-Process

T13RF: TSMC 0.13μm CMOS Mixed Signal RF General Purpose Standard Process FSG Cu

1P8M 1.2&2.5V

TN90RF: TSMC 90nm CMOS Mixed Signal RF Low Power Standard Process LowK Cu 1P9M

1.2&2.5V

UN90: UMC 90nm Logic & Mixed-Mode 1P9M Process Low-K

P15: WIN 0.15μm PHEMT

Page 40: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

135

D35-98AIC number Institution Project nameD35-98A-01 NSYSU A capacitive tactile sensor with tunable loading range/sensitivityD35-98A-02 NTHU Monolithic CMOS-MEMS Oscillators

D35-98A-03 NTHU A Scalable and Programmable Stochastic Neural System on a Chip for Recognising Biomedical Signals

D35-98A-04 NTU High Slew-Rate Output Buffer with Frequency Compensation for TFT-LCD Source Driver

D35-98A-05 NTHU A low power multi-application instrumentation for bladder pressure signaling

D35-98A-06 NTHU Modelling the coupling path of substrate noise for 10-bit 1MHz SAR ADC pre-layout simulation

D35-98A-07 NSYSU Low Noise Low DropOut RegulatorD35-98A-08 NSYSU Embedded 5 V-to-3.3 V RegulatorD35-98A-09 NCTU Design of Readout Circuit for Proximity Sensor ArrayD35-98A-10 NTUST Design and Implementation All-Digital Pulse-Width Control LoopD35-98A-11 NSYSU 1.5V Square-Root Domain Filter

D35-98A-12 NTUT A wider conversion ration for a novel ultra-low voltage dual-output quadratic DC-DC buck converter

D35-98A-13 NTUTA novel high efficiency and low voltage ripple hysteresis current controlled DC-DC Buck converter with active current-sensing and zero current detection techniques.

D35-98A-14 NTHT The new three-phase AC-DC rectifier with digital lower devices controlledD35-98A-15 NCTU High efficiency energy harvesting circuits with no batteryD35-98A-16 NTHT A Class D Amplifier Based on Self-Tuning Master-Slave Architecture

D35-98A-17 NTHT Fast-Response Single-Inductor Dual-Output Hysteresis-Current-Controlled DC-DC Buck Converter

D35-98A-18 NCKU A Single Inductor Dual-Output Buck Converter with Noise-Spread Control

D35-98A-19 NCKU A Single-Inductor Dual-Output DC-DC Boost Converter with Only one Compensator

D35-98A-20 NTU A fast transient response DC-DC converter with pulse width modulation and hysteretic control

D35-98A-21 NKFUST A Low Cost Time Domain Smart Temperature sensorD35-98A-22 NTHU Smart Active Sensing Systems using CMOS MEMS techniqueD35-98A-23 NCHU Improved FET Micro-pressure sensor integrated with RF transmitterD35-98A-24 NCHU Improvement of Carbon Oxide micro sensors with wireless transmitterD35-98A-25m NCHU Novel voltage-tunable micro bandpass filtersD35-98A-26 KSU CMOS Charge Pump Circuit for Low Voltage OperationD35-98A-27m NCCU DC-70GHz dual-cantilever direct contact CMOS-MEMS switch

D35-98A-28 NTUA 10-bit 50-MSample/s`split´pipelined analog-to-digital converter with opamp-sharing/switching technique and digital background calibration applied

D35-98A-29 NTU 8-bit gamma-corrected data driver circuit for TFT-LCDD35-98A-30 NTHT Modified Multiple-Selection Method Analog-to-Digital Converter

D35-98A-31 NTHT A Fast-Transient Average-Current-Controlled Buck Converter without Slope Compensation Circuit

D35-98A-32 NCYUT Self-Checking Adder Design Based on Berger CodeD35-98A-33 CYCU Cardio Signal Readout CircuitD35-98A-34 NTHU Sensing Circuitry for Nanowire FET Sensor Array

Page 41: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

136

CIC ANNUAL REPORT 2009

D35-98A-35 MUST Investigation of a reflective grating as the device of image processor by CMOS-MEMS process

D35-98A-36 NCTU A low power, low noise, multi-channel AFE amplifier for bio-potential sensing application

D35-98A-37 NCCU Using MOSFET-only integrator and capacitance-ratio modulated current front-end circuit to measure tiny capacitance

D35-98A-38 NTUT A New Flash ADC Using N bit to N Comparator ArchitectureD35-98A-39 NCTU A new design circuit of driving an AMOLED

D35-98A-40 NTHU An 8-bit non-volatile Analog memory for storing current-mode parameters of neuromorphic systems

D35-98A-41 NCYUT Self-Checking Conditional-Sum Adder Design Using Two-Rail Encoding

D35-98A-42tm CUE Test of thermal property enhancement for high sensitivity CMOS MEMS thermopile

D35-98A-43m CUE Carbon Monoxide Gas Field-Effect Transistor Sensing System Chip

D35-98A-44 NTUST Design of 800MHz Phase-Locked-Loop for Trunking Radio System Applications

D35-98A-45 NTHU Design of a weak light signal detection circuit for environmental light noise rejection

D35-98A-46 NCYUT CMOS Chip Realization of Manchester and Miller Coder

D35-98A-47 CYCU A Wide Current Range Readout Circuit with Potentiostat for Amperometric Chemical Sensors

D35-98A-48 NTUST A Low-Power-Consumption Quadrature VCO Using Inductor-CouplingD35-98A-49 NTUT A dual channels single PLL circuit applied to the QCM bio-sensor systemD35-98A-50 NTHU A new design of 2-axis accelerometerD35-98A-51 NTUT IC Design of Current-Mode 16-QAM DemodulatorD35-98A-52 NTUST Chip Design of 100 MHz Gm-C Bandpass FilterD35-98A-53 NTUT CMOS-MSMS ISFET for glucose detection

D35-98A-54 NTHU Preamplifier of carbon nanotube- Multi-Electrode Array (cMEA) for neuron signal recording

D35-98A-55 NTHU Bidirectionally-Programable Non-Volatile Analog MemoryD35-98A-56 NTHU Design of Color Differentiator and Circuit with Digital OutputD35-98A-57 NTUST Chip Design of a Low-Voltage 10-bit CMOS Pipeline ADCD35-98A-58 NTUT Third Order Sigma Delta Modulator with Annealing Algorithm OptimumD35-98A-59 NTUT Efficiency Enhancement Wireless Charging SystemD35-98A-60 CYCU Internal Transceiver for Implantable Glucose Biosignal Sensing Systems

D35-98A-61t NSYSU Modelong of RF MOSFET Based on Four-Port Poly Ground Shieding Measurement

D35-98A-62 NTUT A Novel High Sensitivity of Capacitive type of 3-axis Accelerometer with package by CMOS MEMS process

D35-98A-63m CUE Integration of a new trench structure and circuits for CMOS MEMS Gas Sensor

D35-98A-64e CUST The IC Design of Mathematical ProcessorD35-98A-65e NCCU A Low Dropout Voltage Regulator with Programmable OutputD35-98A-66e NDHU Arbiter Design for NOC ApplicationsD35-98A-67e NDHU 8 bit residue and quotient circuit for mod 15D35-98A-68e NDHU 16-bit calculator design for SAD instructionD35-98A-69e CYCU ISFET Readout CircuitD35-98A-70e NSYSU Sample controller and OOK transmitter for on-body communication

Page 42: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

137

D35-98A-71e NSYSU Low power analog-digital converter

D35-98A-72e OIT High Output Swing and Low Output Impedance Folded Cascode OP Amplifier

D35-98A-73e OIT High Performance Low Dropout Linear Regulator

D35-98A-74e NTUT An Active Current-Sensing Hysteresis Current Controlled DC-DC Converter using Zero-Current-Detection Techniques

D35-98A-75e NCYUT High CMRR Instrumentation Amplifier for Biomedical ApplicationsD35-98A-76e NCYUT Totally Self-Checking Circuit Design Based on Berger CodesD35-98A-77e NCYUT Realization of CMOS Cyclic Redundancy checker for RFID ApplicationD35-98A-78e CJCU The Design and Implementation of a Multiplexer-Based Array Multiplier Chip

D35-98A-79e NDHU An all-Digital Frequency Divider with Programmable Output Clock Divisor Adjustment

D35-98A-80e NCHU Modified PMOS Charge Pump for Low-Voltage Applications

D35-98A-81e NCHU A Tunable Bandgap Reference Circuit Using an Embedded Non_Volatile Memory Device

D35-98A-82e NKFUST Multi-Phase Digital Control OscillilatorD35-98A-83e NFU Tunable Delay Control Circuit and Its ApplicationD35-98A-84e NFU 4-bit Digital ComparatorD35-98A-85e NFU Design of an Expandable and Module-Based Digital ComparatorD35-98A-86e NKU Class D Audio Power AmplifierD35-98A-87e NKU Design and Implementation of 480MHz Phase-Locked Loop for USB 2.0D35-98A-88e NKU Design of Two Order Bandgap Voltage Reference Circuits For LDOD35-98A-89e NFU 4 bit Flash ADCD35-98A-90e CTU Design of OPAMPD35-98A-91e CTU Triangular wave OscillatorD35-98A-92e NTUT Current-Mode Instrumentation Amplifier for Biomedical ApplicationsD35-98A-93e NTUT Current Following Transconductance Amplifier (CFTA)D35-98A-94e YUNTECH 10-bit Digital to Analog ConverterD35-98A-95e YUNTECH A TWO CHANNELS ELECTRICAL STIMULATOR CHIPD35-98A-96e YUNTECH Variable Amplifier Circuit

D35-98A-97e NCHU A 8-bits successive approximation ADC with C-2C Switch-Capacitor Technique

D35-98A-98e TTU Capacitive Pressure Sensor ArrayD35-98A-99e YUNTECH CMOS CHARGE PUMP INTEGRATED CIRCUITSD35-98A-100e NCHU A high gain rail-to-rail buffer-amplifierD35-98A-101e NCHU Novel 3 Capacitor Digital / Analog Converter for TFT-LCD ApplicationsD35-98A-102e CYCU An oscillator design for White LED step-up ConverterD35-98A-103e CYCU Full Differential Operational Transconductance Amplifier Circuit DesignD35-98A-104e CYCU A comparator use a dc generation circuitD35-98A-105e STU Low Power 256bit SRAM

D35-98A-106e YZU The Implementation of Low Deviation Ring Oscillator by RFID TAG Chip Application

D35-98A-107e YZU UHF RFID Tag CircuitsD35-98A-108e NKNU A semi-passive RFID circuit with solar cellD35-98A-109e NCCU The research of the new-type DAC for saving 70% layout areasD35-98A-110e NCCU The research of LVDS

Page 43: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

138

CIC ANNUAL REPORT 2009

D35-98BIC number Institution Project name (English)

D35-98B-01m NCCU Design and Implementation of A CMOS MEMS Sigma-Delta Capacitive Microaccelerometer

D35-98B-02 NCCU Bidirectional sensing and pacing system for RF-coupling pacemaker

D35-98B-03 NTUST An Asymmetric One-Sided Duty Cycle Modulation Control IC for Half-Bridge Series Resonant Converters Operating at Light Loads

D35-98B-04t NCTU Integration of standard CMOS process with electro-forming process to fabricate MEMS probe cards

D35-98B-05 NTU Current Interpolation DAC for LCD Source DriverD35-98B-06 NTHU A CMOS-MEMS Tactile Sensor with Electroplating ProcessD35-98B-07 NCCU Non-linear R-string for 6 bit Low Cost Source DriverD35-98B-08 NTHU A High Performance Tri-axis Accelerometer with Single Proof-massD35-98B-09 NCTU MEMS programmable capacitor for electronics application

D35-98B-10 NCTU An Output-Ripple-Mode Control DC-DC Buck Converter without Using Large ESR Capacitor

D35-98B-11m NTU Implementation of MEMS Capacitive Accelerometer

D35-98B-12 NTHU Integration and Implementation of CMOS-MEMS z-axis Microaccelerometer and Capacitive Sensing Circuits

D35-98B-13 NSYSU Low Noise Low DropOut Regulator without ESR compensation

D35-98B-14 FRU Implementation of fully table look-up digital PWM controller for high-frequency power conversion

D35-98B-15 NCTU The Fast Battery Charging Circuit Via Voltage Feedback

D35-98B-16 NTUT Fast-Response Single-Inductor Tri-Output Hysteresis-Current-Controlled DC-DC Buck Converter

D35-98B-17 NCTU Capacitive Microphone Pre-amplifier Circuit

D35-98B-18 NTUT A New Low Power Flash Analog-to-Digital Converter Using Voltage Reference-Selection Method

D35-98B-19 NTOU The Study Of Pyroelectric Infrared Sensor and AmplifierD35-98B-20 NTUST High accuracy multi-phase digital to time converter based on dual PLLsD35-98B-21 NTOU A High Efficiency Dual-Mode Switching Boost RegulatorD35-98B-22 NTOU A Class D Amplifier Based on Sliding Mode Control

D35-98B-23 NTU A 1.1V, 3uW, 111KS/s, 7-bit SAR-ADC with Rail-to-rail Input Capability for Ultra-Low Power Micro-Sensor Applications

D35-98B-24 NCCU The Design of Readout Integrated Circuit for Biosensor Array

D35-98B-25 CYCU Biomedical signal applied to capture the low-offset low-voltage front-end circuit design

D35-98B-26 NTUST An Inductor-Coupled QVCO

D35-98B-27 NCCU Integrated Design and Implementation of Front-end Readout Circuit for Array-Based Biosensor with Chopper-Stabilized Operational Amplifier

D35-98B-28 NCCU Integrated Design and Implementation of Front-end Readout Circuit for Array-Based Biosensor with Trans-resistance Operational Amplifier

D35-98B-29 NCU Current-Mode PWM DC-DC Buck Converter with V2 Controlled Scheme for Dynamic Power Supply

D35-98B-30m NCHU Design of a novel voltage-tunable micro bandpass filterD35-98B-31m NCHU RF MEMS switch with low insertion loss and high isolationD35-98B-32 NCHU Micro CO gas sensor integrated with readout circuitD35-98B-33 NTHU Design and Analyze two novel CMOS MEMS tri-axis accelerometerD35-98B-34 NTU A Synchronous Boost Regulator with PWM/PFM-Mode Operation

Page 44: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

139

D35-98B-35 YUNTECH CMOS CHARGE PUMP INTEGRATED CIRCUITS

D35-98B-36 NTUT A Novel Continuous All-Digital Divider-by-2 and 3 Cycloconverter with Short Circuit Protection

D35-98B-37 NTUT A wider conversion ration for a novel ultra-low voltage dual-output quadratic DC-DC buck converter with average current-mode control

D35-98B-38 NTHU Using backside etch to fabricate reflecting and refractive Fabry-Perot interferometer structure

D35-98B-39 NCKU A Versatile Step-Up/Step-Down Regulated DC-DC Converter with Low Output Voltage Ripple

D35-98B-40 NCKU A Low-Ripple PWM/PFM Dual-mode Control Step-Down DC-DC ConverterD35-98B-41 NCTU Micro optical three axes accelerometerD35-98B-42 NCYUT Two-rail self checking carry-select adder core designD35-98B-43 NTUST Chip Design of WCDMA Switched-Capacitor Low-Pass FilterD35-98B-44 NFU Improved Design of high sensitivity spot position detectorD35-98B-45 NTUT The Cross Type CMOS planar fluxgate sensors

D35-98B-46 NTUT Chip Implement of three phase BLDC motor with speed control driver IC using PWM method

D35-98B-47 NSYSU Third-Order Log-Domain Filter

D35-98B-48 NCKU Low voltage low quiescent current low-dropout regulators with slew-rate enhanced for SoC

D35-98B-49tm THU Sloting wire for MEMS high Q RF inductorD35-98B-50 NTHU Design of a capacitive accelerometer with wet etching techniqueD35-98B-51 NCYU Current-mode Berger Code CheckerD35-98B-52 NCYU Design of High-Speed CMOS Miller Code with Parallel OperationD35-98B-53 NCYU A New Arbitrarily-Input CMOS CRC-8 Chip for RFID ApplicationD35-98B-54 NTHU VHF CMOS-MEMS Resonators with Submicron Capacitive Gap

D35-98B-55 NTHU Design of a micro-optoelectronic detection system with light source driving circuit

D35-98B-56 NTHU A High Performance Low Power 2.5V 50MS/s 10Bit Pipelined ADC Application for Image Processing

D35-98B-57 NTHU A neuronal stimulation system circuit with tunable input voltage signals

D35-98B-58 CYCU An Input Range from 2.5V to 3.3V Boost converter with Dynamic Slope-compensation in Current-Programmed Mode

D35-98B-59 NTUT A Study of Tunable Gas Sensor Array of Carbon Nanotubes Combined with the High-resolution Circuits of Current Measurement

D35-98B-60 NTU Implantable neuromuscular stimulator by wireless charger

D35-98B-61 FCU Pseudo Differential Operational Trandconductance Amplifier using Common Mode Feed Forward Technology

D35-98B-62 NCTU A low power, multi-channel AFE amplifier for EEG sensing applicationD35-98B-63 NCCU A Low Power DAC Applied to The Successive Approximation ADCD35-98B-64 NTHU A High Resolution Winner-Take-All CircuitD35-98B-65 NTUT Analog Min-Sum Decoder for (8,4) Regular Low Density Parity Check Codes

D35-98B-66 NTHU Area-efficient capacitor-free low-dropout regulator with current mode feedback compensation

D35-98B-67 NCKU A pipelined ADC applied for demonstrating the effectiveness of the proposed linearity test and diagnosis method

D35-98B-68 YUNTECH Wide Band Linear Voltage-to-Current Converter With Mobility Degradation Compensation

Page 45: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

140

CIC ANNUAL REPORT 2009

D35-98B-69 CYCU Operational Transconductance Amplifier

D35-98B-70m MUST Investigation of a Low Stress Device with Optical Communication Switch by CMOS-MEMS process

D35-98B-71 CYCU Low frequency low shift oscillatorD35-98B-72m NCCU Design of capacitive micro horizontal-sensor by standard CMOS processD35-98B-73 NTU DLL-Based GFSK Demodulator

D35-98B-74+m NTUT Adopting electro-forming process to fabricate the array-type force sensor applied to vertical probe card

D35-98B-75e NCUE A 8-bit Current-Steering DACD35-98B-76e NCUE Sub-threshold Operation of a Low–Power Smart Temperature SensorD35-98B-77e NCUE A 8-bit pipelining CLA using ANT dynamic logic

D35-98B-78e NKFUST The Pulse width modulator with temperature compensation Circuit Design and Implementation

D35-98B-79e NKFUST The Counter-Based Analog to Digital Converter with Temperature Compensation Circuit Design and Implementation

D35-98B-80e NKFUST A High-Speed Pulse-Width ModulatorD35-98B-81e NKFUST A Pulse Width Modulator with Fast-Swing Voltage-ControlledD35-98B-82e NKFUST A Pulse-Width Modulator Based on Transmission Gates

D35-98B-83e NCCU Study and implement of digital-to-analog converter for readout circuit of infrared detector

D35-98B-84e NKFUST high effect voltage-controlled oscillator

D35-98B-85e CYUT Controller chip design of two-phase-voltage-multiplier-based bidirectional DC-DC converter

D35-98B-86e NCCU Study on Integrated Design of Driver Circuit for Light Emitting Diode with Power Control

D35-98B-87e NCHU 10 Bit Parallel Type Digital-to-Analog Converter for TFT-LCD ApplicationsD35-98B-88e NCHU Rapid Switch Capacitor Digital-to-Analog Converter for LCD ApplicationsD35-98B-89e KSU 4BIT adjustable reference voltage source design and accomplishmentD35-98B-90e CYCU Compare with four kinds of InverterD35-98B-91e NKU A Sigma Delta Modulator For Audio Application

D35-98B-92e CYUT Controller Chip Design of two-phase multi-stage switched-capacitor Booster with high voltage gain

D35-98B-93e NCCU Source Driver for mobile lcdD35-98B-94e NCKU A design of bandgap reference circuit for portable productD35-98B-95e NCCU A nonlinear 6 bit Source Driver Design for LCDD35-98B-96e NKNU Operable low power supply voltage of the reference voltage circuitD35-98B-97e NTUT Dual mode buck converter with average current mode controlD35-98B-98e NUU A four-stage charge pump cascading high-voltage clock generator CircuitD35-98B-99e FCU Design of CMOS variable gain amplifierD35-98B-100e FCU Design of LVDS transmitter

D35-98B-101e NKNU 3Bits Adjustabld reference voltage of bandgap circuit for apply to 3V/5V system

D35-98B-102e NCHU A Tunable Voltage Reference Circuit using Switched CapacitorsD35-98B-103e CYCU Carry Look-ahead 8-bits AdderD35-98B-104e YUNTECH Low Dropout Voltage RegulatorD35-98B-105e CYCU A Cascode Bias Two-stage Amplifier

D35-98B-106e YUNTECH Noninverting stray-insensitive switched-capacitor integrator based on CMOS folded-cascode op-amp

Page 46: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

141

D35-98B-107e NCCU Low-Power of Successive Approximation ADCD35-98B-108e FRU Clock-Deskew Buffer Using a SAR-Controlled Delay-Locked LoopD35-98B-109e YUNTECH High Current-Pumped For Li-Ion Battery ChargerD35-98B-110e NKU A Delta-Sigma Modulator for Audio ApplicationD35-98B-111e NCHU A Fast Transient Response and Wide Loading Range PWM Buck Converter

D35-98B-112e NKNAC A 1.2-2.5 GHz CMOS Ring Voltage-Controlled Oscillator for GPON Applications

D35-98B-113e YZU Charge Scaling digital to analog converterD35-98B-114e YZU Charge Scaling Digital to Analog ConverterD35-98B-115e NKU Low Drop-Out RegulatorD35-98B-116e YZU Current sensor for Power MosD35-98B-117u NTU A 3V, 10-bit, Low Power Pipelined ADC with Current ReuseD35-98B-118u NTU power line communication tranceiver for solving the problem of WSN

D35-98CIC number Institution Project name (English)D35-98C-01 NTU An 8-bit Pipelined ADC with the improved input stageD35-98C-02 NCCU An ultra low-power analog front-end circuitry for pacemaker

D35-98C-03 NTHT Single-Inductor Quadratic High-Conversion-Ratio Average-Current-Mode Buck Converter Without Slop Compensation

D35-98C-04 NKFUST Time-to-Digital Converter Based on Self-Calibration Dual Slope with ConterD35-98C-05m MUST Silicon Photonics Device Application for Optical Communications NetworkD35-98C-06-bio NCHU Integrated Lipopolysaccharide biosensors with readout circuitry

D35-98C-07 NCKU A Versatile Step-Up/Step-Down Regulated Switched Capacitor based DC-DC Converter

D35-98C-08 NTUST Low Phase Noise Circular LC Quadrature VCOD35-98C-09 NCTU Intelligent Microfluidics Bio-chip ArrayD35-98C-10-bio+m NCTU Implantable Neural Micro Probe Array

D35-98C-11m NCCU DC-50GHz sidewall-contact CMOS-MEMS SPDT switchD35-98C-12m NCCU 60 GHz transmission line chip antnna design with dramatic gain improvment

D35-98C-13m CUE Improvement of Carbon Monoxide Gas Field-Effect Transistor Sensing System Chip

D35-98C-14m NTUST Body-Coupled Current-Reused QVCOD35-98C-15tm CUE Research on Infrared Photonic Crystal ThermopileD35-98C-16m NCCU DC-70GHz CMOS-MEMS SPST with Low Pull-in VoltageD35-98C-17 NCCU Design of a Pipelined Analog-to-DigitalD35-98C-18 NCCU Capacitive sensor front-end interface circuit for smart sensor designD35-98C-19 NTHU The VLSI Implementation of the Diffusion NetworkD35-98C-20 NTHU CMOS capacitance sensor combine electroplating and polymerD35-98C-21 NTHU Dielectrically Transduced CMOS-MEMS Resonators

D35-98C-22 NUTU Design of Bidirectional Voltammetry Potentiostat for Electrochemical Sensors Application

D35-98C-23 CHU Compact Cascaded Current-Mode Variable Gain Amplifier

D35-98C-24 NKFUST The Digital Pulse Width Modulation with Temperature Compensation Circuit Design and Implementation

D35-98C-25 NDHU Retina stimulator

Page 47: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

142

CIC ANNUAL REPORT 2009

D35-98C-26 YZU 1V current mode control boost dc/dc converter

D35-98C-27 YUNTECH DUAL-DIRECTION AND MULTIPLE FREQUENCIES ELECTRICAL STIMULATOR SYSTEM

D35-98C-28 NCHU Receiver Front-End Circuit in a novel Cellular-based Indoor Position System

D35-98C-29 CYCU A Double-Modulation Current Comparator for Amperometric Chemical Sensors

D35-98C-30 NCYU A Compact CMOS Pulse-Width Modulation Core for LED Outside Mobile Application

D35-98C-31m NCHU Micro CO gas sensor integrated with double gain readout circuitsD35-98C-32 CHU CMOS Current-Mode Geometric-Mean Circuit with N InputsD35-98C-33 NCUE An 8-bit Current-Steering DACD35-98C-34 NCYU High-Stability LED PWM Driver Core with 16 Levels CapabilityD35-98C-35 NCKU A Buck Converter with the Fixed-Frequency Hysteretic ControlD35-98C-36 NUTU Design of the mm-wave filter device by using CMOS process

D35-98C-37 CYCU An Input Range from 2.5V to 3.3V Boost converter with Dynamic Slope-compensation in Current-Programmed Mode

D35-98C-38 LHU A Volrage Mode Low Droput RegulatorD35-98C-39t NCU Monolithic transformers for silicon TestkeyD35-98C-40tm NCTU Development of symmetric suspension for 2 - axis micro accelerometers

D35-98C-41 NTHU Design three type actuator and using backside etch to fabricate reflecting and refractive Fabry-Perot interferometer structure

D35-98C-42 NTHU A Single Proof-mass Tri-axes Accelerometer Integrated with Metal Wet-etching

D35-98C-43+m NCTU Study of MEMS Chopper StabilizerD35-98C-44+m NCTU High density infrared ray temperature sensorD35-98C-45 CHU CMOS Current-Mode Tunable Gamma Corrector

D35-98C-46 NCTU High integrated 3-axis Accelerometer sensor, including package and MCU system

D35-98C-47m NUK Low Loss Lame Mode MicroresonatorsD35-98C-48 NCTU CMOS gas sensor and the read-out circuit

D35-98C-50 NTUDual-mode control methodology of DC-DC converters with a linearly adaptive gate drive technique for improving transient response and light-load efficiency

D35-98C-51 CGU Multi phase pulse Width Control LoopD35-98C-52 NTU A closed loop class D audio amplifier with high PWM frequencyD35-98C-53 NCCU A Low Cost TFT-LCD Source Driver DesignD35-98C-54tm NCCU Residual stress test key for laterally driven cantileverD35-98C-55 NCTU Design of fiuxgate micromagnetometer and readout circuitD35-98C-56 NCTU CMOS MEMS Capacitive type MicrophoneD35-98C-57 CYCU A Bridge-Type Read out circuit for Chloride Ion Sensitive SensorD35-98C-58e NKNU 4bit Switch Mode & Resistor Booster for bandgapD35-98C-59e NKNU 3Bit Adjustable Bandgap CircuitD35-98C-60e NKNAC Design of CMOS Thermoelectric Infrared SensorD35-98C-61e OIT Implementation of CMOS Buck power ConverterD35-98C-62e YUNTECH The design of CMOS charge pump based on CMOS two-stage op-amp

D35-98C-63e CUE Research of differential sensing circuit of thermal type accelerometer with low offset voltage

D35-98C-64e NCHU 12 Bit Parallel Type Digital-to-Analog Converter for TFT-LCD Applications

Page 48: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

143

D35-98C-65e NCHU Rail to rail buffer for TFT-LCD ApplicationsD35-98C-66e NCHU A high gain rail-to-rail buffer-amplifierD35-98C-67e NCHU Two-Stage CMOS Operation amplifierD35-98C-68e YUNTECH Design of operating amplifier applied to array sensorsD35-98C-69e NKNAC Design of a 0.35μm CMOS Cherry-Hooper amplifier with 40dB gainD35-98C-70e KUAS A 0.35μm CMOS variable gain amplifier with 20dB dynamic rangeD35-98C-71e NKNAC Design of laser driver for Giga bits EPOND35-98C-72e NCHU Digital type low dropout regulatorD35-98C-73e NKFUST Digital of VCO (Voltage-Cntrolled Oscillator)D35-98C-74e NKFUST Digital type Pulse-Width Modulation by external contorl

D35-98C-75e CYCU Low Pass Current Filter Using Single Operational Transconductance Amplifier

D35-98C-76e CYCU Biquad Lowpass Filter using Three OTAs

D35-98C-77e CYUT Controller Chip Design of PV-cell-supply-based step-up/down DC-DC converter

D35-98C-78e CYUT Chip design of sinusoidal-pulse-width-modulation controller for 1ψ DC-AC converter

D35-98C-79e CYCU Two-Stage OPAD35-98C-80e NCHU Novel 3 Capacitor Digital–to-Analog Converter for TFT-LCD Applications

D35-98C-81e NDHU The Implementation of Discrete Wavelet Transform for Image Compression System Applications

D35-98C-82e NTHT Low Power and Fast Transient Response CMOS Voltage Regulator Based on Dual Loop Controlled

D35-98C-83e NTHT A New Switched Tunable Filter Based on Current-Mode Active ElementsD35-98C-84e NTHT Analog Front-End Circuit for Biomedical ApplicationsD35-98C-85e STU Choas Oscliiator with Multi-Channel and Digital Control Switch

D35-98C-86e STU DESIGN OF 1-V LOW POWER CMOS BANDGAP REFERENCE BASED ON RESISTIVE SUBDIVISION

D35-98C-87e NCCU Low Cost Source Driver Design D35-98C-88e NCCU A 8 bit Source Driver Design for LCDD35-98C-89e CYCU Temperature Sensor using CMOS technologyD35-98C-90e CYCU Folded Cascode OTAD35-98C-91e CYCU Differential Fully in-fully out folded cascade Amp

D35-98DIC number Institution Project name (English)D35-98D-01t NSYSU The Comparison between four port and two port de-embedding methodD35-98D-02t CUE 40-GHz Millimeter-Wave Balanced Bandpass FilterD35-98D-03t NCCU 3D photodiode modelD35-98D-04 NCCU 44 by 36 Avalanche Breakdown Photodiode Array for 3D Image SensorD35-98D-05 NTHT Design of a High Performance Push-Push VCOD35-98D-06 LHU A 900MHz Quadrature Ring Oscillator for Low Power Consuming OperationD35-98D-07 LHU Low Power CMOS Operational Amplifiers with Negative ResistanceD35-98D-08 NFU 1.5V 10-bits Pipelined Analog-to-Digital ConverterD35-98D-09 NTHT A New Low Power Flash Analog-to-Digital Converter with Build-in Self-testD35-98D-10 NTHT A Low Power Current-Mode Analog to Digital Converter Using Current Switch

Page 49: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

144

CIC ANNUAL REPORT 2009

D35-98D-11 NTHT Design for using temperature calibration to mobile device with power monitor and reset signal function IC

D35-98D-12 NTHU A Fast Response Buck Converter Using Synthetic Ripple Hysteresis Control Scheme

D35-98D-13 NCHU A low-ripple PMOS-Based charge pump circuit with good drivabilityD35-98D-14 YUNTECH A New Approach for Nonlinearity Test of High Speed DACD35-98D-15 CHU CMOS Nth-Switchable-Root CircuitD35-98D-16 NTUST Transformer Injcetion Locked Frequency Divider by 3D35-98D-17 NTUST Switch-Less Twin Band Frequency Divider

D35-98D-18 NCKU Capacitor-Free Low-Dropout Voltage Regulator with Features of Low-Quiescent Current and Low Buffer Impedance

D35-98D-19 NCKU Fast Transient Voltage-Mode Switching Voltage Regulator by Applying the Variable Ramp Signal

D35-98D-20 NCKU A Monolithic Current-Mode CMOS Maximum Power Point Tracking Converter for Solar Photovoltaic

D35-98D-21 NCKU A Current-Mode DC-DC Buck Converter with Variable Frequency Control

D35-98D-22 NTUST High Efficiency Intelligent Controller For Half-Brige Series Resonant Converter

D35-98D-23 NTU High Transient Response LDO for LED driverD35-98D-24 NTU Low Cost DAC for LCD ApplicationD35-98D-25 NTU A Varied Frequency DC-DC Converter for Reducing Transient-RippleD35-98D-26 NKFUST A Low-Cost High-Accuracy CMOS Digital Temperature SensorD35-98D-27 NCTU Design of Sensing Circuit for Optical Proximity SystemD35-98D-28 NCTU Three-Channel Low-Power CMOS Amplifier for Neural Recording

D35-98D-29 NTUST Design and Implementation of Si-based Integrated Circuits for RGC Transimpedance Amplifier

D35-98D-30 NCKU An output buffer with slew-rate calibration for different TFT-LCD panel loadings

D35-98D-31 NCKU A High PSR, Low Dropout Voltage Regulator over Wideband Frequency

D35-98D-32 NCKU A Fast-Transient Low-Dropout Regulator with Current-Positive-Feedback Buffer for SoC

D35-98D-33 NTUST Application of micro-valve technology of glucose sensor chipD35-98D-34 NTUST Improvement CMOS-MEMS planar fluxgate micro-sensors

D35-98D-35 NTUST Integration of standard CMOS process with electroless nickel to fabricate MEMS probe cards

D35-98D-36 NTUST A study of ppb level Tunable Gas Sensor of Carbon Nanotubes Combined with the High-resolution Circuits of Current Measurement

D35-98D-37 NCHU Application of ladder-type spring RF MEMS switchD35-98D-38 NCHU Capacitance Lipopolysaccharide of Blood biosensorsD35-98D-39 NCHU FET micro CO gas sensor with readout circuitD35-98D-40 NTHU Design of CMOS-MEMS Resonators with Low-loss Supporting StructuresD35-98D-41 NTHU A CMOS based intracellular electrode array for neural signal recordingD35-98D-42 NTHU Smart Torque Sensing Systems using CMOS MEMS technique

D35-98D-43 NTHU Using wet etching technique to develop two-axis accelerometer with a single proof-mass

D35-98D-44 NTHU A CMOS Capacitive Dopamine Biosensor

D35-98D-45 NTHU The cell adhesion of CMOS neuron-silicon interface with different architectures in cultured neurons

Page 50: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

145

D35-98D-46 NTHU A CMOS Compatible Real-Time DNA Concentration Detection Sensor with three kinds of Readout Circuit

D35-98D-47 NTHU A neuronal stimulation circuit with tunable input voltage and currentD35-98D-48 NTHU 8 × 8 array CMOS chip with in-vitro electrochemical sensing and stimulationD35-98D-49 NTHU 8×32 CMOS-MEMS tactile Sensor arrayD35-98D-50 NTHU 32×32 array cellular-growth guidance chipD35-98D-51 NTHU 8×8 array temperature sensor chipD35-98D-52m MUST Silicon Photonics Device Application for Optical Communications Network

D35-98D-53m MUST Investigation of micro device applied on CMOS-CCD Image Chip for Anti-Shaking

D35-98D-54m YUNTECH CMOS MEMS accelerometer and sensing circuitD35-98D-55u NTU A low power, 300~915 MHz Wide-Band receiver Front-End Circuit DesignD35-98D-56u NTU A 3.3V, 10-bit, 50MHz Pipelined ADC with OPAMP current reuseD35-98D-57e NSYU 8-bit Manchester adderD35-98D-58e NSYU Voltage Amplifilier with hysteresisD35-98D-59e NSYU Phase-Locked LoopD35-98D-61e NSYU 8-bit Baugh-Wooley multiplierD35-98D-62e NSYU 128*8 bits Content-addressable MemoryD35-98D-63e NSYU 128*8 bits SRAMD35-98D-64e NSYU 8-bit universal shifterD35-98D-65e NCYU Thermal CMOS-MEMS InclinometerD35-98D-66e LWU Active-RC channel selection filter designD35-98D-67e NTHT A Temperature Independent Bandgap References

D35-98D-68e NKNAC Study of Feedback Topology in Wideband Common-Gate Transimpedance Amplifiers

D35-98D-69e NCYU Implementation of Wide-Band Auto-Scanned oscillatorD35-98D-70e NCYU Operational Amplifier Chip for ECG Bio-Signal MeasurementD35-98D-71e NCYU Design and Analysis of Low-Power 10-Transistor Full AdderD35-98D-72e NTHU A 1V VDD power efficient complex multiplierD35-98D-73e NCHU programmable energy modulation of buck converterD35-98D-74e NTHT Fast Average-Current-Controlled Buck ConverterD35-98D-75e NTHT Current mode current feedback low-dropout regulator)D35-98D-76e NUTU Design of Low Power Low Dropout Voltage RegulatorD35-98D-77e NUTU Design of Low Power Low Dropout Voltage RegulatorD35-98D-78e NUTU Photodiode Design with Multi-factor and Detection by Sigma-Delta ModulatorD35-98D-79e NUTU Design of A Diode Temperature Sensor using Sigma-Delta ModulatorD35-98D-80e NTUST An All-Digital Delay-Lock Loop with Variable SAR AlgorithmD35-98D-81e FCU Design of Source Driver Transmitter and Receiver Interface Using TSMC.35D35-98D-82e STU Choas Oscliiator with Multi-Channel and Digital Control SwitchD35-98D-83e STU 12 bit R-2R Digital to Analog Conveter

D35-98D-84e FCU A Low Voltage Folded-Cascode OPAmp with CMFB and CDB Design & Implementation

D35-98D-85e FCU A Training-Oriented Two-Stage OP-Amp with CMFB Design D35-98D-86e FCU A Phase Frequency Detector in Phase Locked system.D35-98D-87e FCU Voltage-to-Current Converter for Switch Current Memory Cell applicationD35-98D-88e FCU Operation Amplifier for class D audio power amplifier

Page 51: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

146

CIC ANNUAL REPORT 2009

D35-98EIC number Institution Project name (English)D35-98E-01 NTHU A Novel CMOS-MEMS Oscillator with Parylene Fill-in Process

D35-98E-02 NCTU Different size of microelectrode sets in measuring of the impedance of retina cells

D35-98E-03 NCTU A novel CMOS-MEMS 3D micro-fluxgate magnetic sensorsD35-98E-04 NTHU High Frequency Mechanically-Coupled CMOS-MEMS FiltersD35-98E-05 NTHT Dual Tansmission High Efficiency Wireless ChargingSystemD35-98E-06+bio+m NCTU Three dimensional Bio-CMOSMEMS neural probe array

D35-98E-07 CGU fast-locking phase-locked LoopD35-98E-08 NTUST A Small Current Changed Twin-tanks Voltage Control OscillatorD35-98E-09 NTUST Series Injected CMOS ILFD by 3D35-98E-10 NTUST A Low Power Injection Locked Frequency Divider By TwoD35-98E-11 NTUST Wide Band Injection-Locked Frequency Divider Using Active InductorD35-98E-12 NTUST A Wide Locking Range Colpitts ILFDD35-98E-13 NTUST A Novel of Wide Locking Range divide-by-3 ILFD

D35-98E-14 NTUST A cross-couple NMOS Pairs VCO Using 0.35μm technology achieves a divided- by- two wide injection range divider

D35-98E-15 NTUST A New Divide-By-3 ILFD Using Transformer Coupling

D35-98E-16 NTUST Low Operation Voltage Divide By 3 ILFD (Injection Locked Frequency Divider)

D35-98E-17 NNU Third-Order Chain of Integrator with Weighted Feedforward Delta-Sigma Modulator With Digitally Feedforward.

D35-98E-18 NTU The LCD driver with parasitic capacitance measurement and pixel voltage acceleration

D35-98E-19 NTU The LCD Driver with Feedback Pre-emphasis Voltage Generation CircuitD35-98E-20 NKFUST A CMOS Dual Trip-Point Thermostat with Digital Set-Point

D35-98E-21 NTHU Integration and Implementation of CMOS-MEMS One-axis Accelerometer and Capacitive Sensing Circuits

D35-98E-22 NTUST Chip design of continuous-time quadrature bandpass Delta-Sigma modulator

D35-98E-23 NCTU Integration nickel via with electroless plating process to fabricate CMOS-MEMS probe card

D35-98E-24+bio+m NCTU A Study on the Current Measurement Circuits Applied for CMOS-MEMS

Cancer cells counting sensorD35-98E-25 NCTU The Readout Circuit for 3D Optical Proximity Sensor ArrayD35-98E-26 NCTU Novel front-end sensing circuit design of large size capacitive touch panel

D35-98E-27 FCU An ECG Front-End Subsystem for Portable Physiological Monitoring Applications

D35-98E-28 FCU An Enhanced High-Efficiency Mixed-structure Charge Pump

D35-98E-29 NCKU Ultra fast-transient low-dropout voltage regulator for high-speed analog circuit block

D35-98E-30 NTHU 4 × 4 Digital Ion Sensor Array with Pulse Output and Testing CircuitD35-98E-31 NCHU The magnetic coupling effect of the neuron action potentialD35-98E-32 NCHU ISFET micro CO gas sensorD35-98E-33 NCHU Adjustable-dependent inductance of the MEMS RF SwitchD35-98E-34m NTU Concentration sensor of aqueous glucose and fructose solutionD35-98E-35m NTU Testkey of the effective Young’s modulus of composite structure

Page 52: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

147

D35-98E-36m YUNTECH A Novel CMOS MEMS 3-Axis Accelerometer And Readout CircuitD35-98E-37-bio NTHU Design a single axis capacitive accelerometer using electroplating techniqueD35-98E-38-bio NCHU Integrated Lipopolysaccharide biosensors with differential osicllatorD35-98E-39-bio NCHU An extended gate FET-based biosensor for detection of LipopolysaccharideD35-98E-40e KSU 0.7v Bandgap Reference Circuit Design

D35-98E-41e NKNU A Low Supply Voltage CMOS OPAMP Using Double P-Channel Differential Input Pairs

D35-98E-42e YZU String detector with power on resetD35-98E-43e YZU Sawtooth waveform and clock generator for Power MosD35-98E-44e YZU CMOS Operational AmplifiersD35-98E-45e STU High data stability static random access memory to read the designD35-98E-46e STU Digital Sin & Cosine Waveform GeneratorD35-98E-47e STU Traffic LightD35-98E-48e NCHU Application in TFT-LCD Driver Novel 3 Capacitor Digital / Analog ConverterD35-98E-49e NCUE A Low-Power Smart Temperature SensorD35-98E-50e NCUE An ultralow-power smart temperature sensor

D35-98E-51e NCHU Improve high Performance programmable energy modulation of buck converter

D35-98E-52e NKFUST Digital type Pulse-Width Modulation by external control of Digital switch

D35-98E-53e NDHU Adjustable bias Binary-weighted 10-bit 100MHz Digital-to-analog Converter

D35-98E-54e CYCU 1.0MHz Quadrature Oscillator using two Symmetrical Operational Transconductance Amplifiers

D35-98E-55e NKFUST The Pulse width modulator with temperature compensation Circuit Design and Implementation

D35-98E-56e NKFUST Programmable Analog Pulse-Width-ModulationD35-98E-57e NKFUST A Pulse Width Modulator with Load-Optimized Voltage-ControlledD35-98E-58e NKFUST A Novel Voltage-Controlled Pulse-Width ModulatorD35-98E-59e NKFUST Pulse-Width Based Analog to Digital ConverterD35-98E-60e NSYSU A Functional Monitoring System for Electrical Safety of BiochipsD35-98E-61e NKFUST CMOS Phase-lock loop VLSI designD35-98E-62e NTHT Current feedback voltage-mode low dropout voltage regulator

D35-98E-63e NKU By Using Off-Chip Capacitance to Stabilize System for LDO Voltage Regulator

D35-98E-64e OIT Implementation of Structured ASICs Design Methodology for 4-bit 16-instruction Functional Unit

D35-98E-65e NFU Design of a Tunable Ring OscillatorD35-98E-66e SJU DLL-based 50% duty cycle correctorD35-98E-67e SJU Digital soft-start circuit designD35-98E-68e STU Chaos Oscliiator with Multi-Channel and Digital Control Switch

D35-98E-69e STU DESIGN OF 1-V LOW POWER CMOS BANDGAP REFERENCE BASED ON RESISTIVE SUBDIVISION

D35-98E-70e STU 6Bit TIQ Analogy to Digital ConverterD35-98E-71e STU report 6 bit R-2R Digital to Analog ConveterD35-98E-72u NTU A DLL-Based GFSK Low Power Receiver For 300~915 MHz ISM Band

Page 53: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

148

CIC ANNUAL REPORT 2009

P15-98AIC number Institution Project name (English)P15-98A-01 NCTU Design of a Low Noise AmplifierP15-98A-02b NCTU Design of a Dualband Low Noise AmplifierP15-98A-03b NCTU The Micromixer with Machand Balun (Down)P15-98A-04b NCU V band power amplifier

P15-98A-05b NSYSU The design of Class E power amplifiers with series capacitor/parallel-tuned load networks

P15-98A-06b NSYSU The implement of class-E high efficiency power amplifierP15-98A-07 NCU Bandpass filter for 3.5GHz WiMax band single-chip applicationP15-98A-08 NCU K-band High Selectivity Bandpass Low Noise AmplifierP15-98A-09b NTU 71 ~ 76 GHz Drive AmplifierP15-98A-10t NSYSU Applying de-embedded for pHEMT process to compare with othersP15-98A-11b NCTU 5GHz Low Noise AmplifierP15-98A-12 NCTU Dual Down-Converter for V-Band ApplicationP15-98A-13b NCU V-band tripler circuit with low conversion lossP15-98A-14b NCU W-band integrated circuit of injection-locked oscillator and frequency doublerP15-98A-15b NCU A 57GHz Low Noise AmplifierP15-98A-16b NCU A Ka-band Power Amplifier Using GaAs pHEMT TechnologyP15-98A-17 NCTU 57-64GHz Power AmplifierP15-98A-18b NCKU A 57-64 GHz MMIC 2x sub-harmonic passive mixer with a diplexerP15-98A-19b NCU Low power wideband diode mixerP15-98A-20 NTU K Band Single-Balanced Gate Mixer

P15-98BIC number Institution Project name (English)

P15-98B-01 NTU A 18 ~ 36 GHz broadband power amplifier using wideband impedance transformation technique.

P15-98B-02 NTU 72 – 114 GHz multiplier chain for astronomical observation systemP15-98B-03 NCU Single-to-Balanced Multicoupled Line Bandpass FilterP15-98B-04 NTU A 1.9GHz Differential Class E Power Amplifier with On Chip Power CombinerP15-98B-05 NTU V band TriplerP15-98B-06b NTU A full W- band triplerP15-98B-07b NCU V-band tripler circuit with low conversion lossP15-98B-08t NCTU Research on room-temperature terahertz oscillator and emitterP15-98B-09 NCTU 57-64 GHz Medium Power AmplifierP15-98B-10b NSYSU 1.9 GHz/2.6 GHz Dual-Band High-Efficiency Class-E Power AmplifierP15-98B-11 NSYSU W-band Active Antenna with an Embedded Voltage Controlled Oscillator

P15-98B-12b NSYSU Differential Class E Power Amplifier for High Efficiency and Harmonic Content Suppression

P15-98B-13 NTU A 50 ~ 75 GHz Cascode Balance Power Amplifier

P15-98B-14b NSYU The application of nonlinear capacitance compensation technique to Class AB power amplifiers design

P15-98B-15b YZU Design of DC-20 GHz Compact Fully Integrated PHEMT T/R SwitchP15-98B-16b NCTU Dual Down-Converter for V-Band ApplicationP15-98B-17b NCTU 2.4GHz low noise amplifier

Page 54: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

149

P15-98B-18 NCTU 4.9-5.9GHz low noise amplifierP15-98B-19 NCKU Narrowband Bandpass Millimeterwave FiltersP15-98B-20b NCKU A compact double balanced doubler with compensation function

P15-98B-21b YZU A 3-Bit Phase Shifter MMIC using PHEMT Technology for Ku-Band Smart Antenna Application

P15-98B-22 NCTU Ultra Wideband 3~10GHz Low Noise Receiver by Single SupplyP15-98B-23b NCTU The Micromixer with Current BleedingP15-98B-24 NCTU High Speed Low flicker noise mixerP15-98B-25b NTUST Low Power Voltage Controlled Oscillator in K-band Application

SiG35-98AIC number Institution Project name (English)

SiG35-98A-01b NTUST Design and implementation of a photodetector and transimpedance amplifier for optical receiver analog front end

SiG35-98A-02b NTUST Design of SiGe-Based Photo-Detect and Optical Receiving DevicesSiG35-98A-03b FCU Design of RF Front-end MMIC for 3.5GHz WiMAX ApplicationSiG35-98A-04u NTU A 3-10GHz ULTRA-WIDEBAND LNA DESIGN

SiG35-98A-05b YZU Compact、Wideband and Low Power upConversion Micromixer With Building Active Balun

SiG35-98A-06b YZU RF power amplifier design for WiMAX 802.16e System ApplicationSiG35-98A-07t NTU Testkey of active device under extreme ultraviolet (EUV) rays radiationSiG35-98A-08 NTHU New Wide Dynamic Range Image Sensor Array

SiG35-98A-09b NTHU Wide Dynamic Range & Low Walk Error Receiver Channel with Leading Edge Timing Discriminator for a Pulsed Time-of-Flight Laser Rangefinder

SiG35-98A-10 NCTU Millimeter-wave star mixer using wider-band bulun and 2-to-1 transformersSiG35-98A-11 NCTU Dual Direct Down-Converter for V-Band ApplicationsSiG35-98A-12 NCTU 60GHz次諧波混頻器整合180度分合波器

SiG35-98A-13t NSYSU Applying four-step de-embedded and polysilicon shield plane technique of SiGe process to compare with others

SiG35-98A-14b NCTU UWB Tunable Band Variable Gain Up Converter with Constant IF BandwidthSiG35-98A-15 NCTU 60G Weaver RecevierSiG35-98A-16b NSYSU 5.7 GHz SiGe Low Noise Amplifier Using Miller Effect

SiG35-98BIC number Institution Project name (English)

SiG35-98B-01b NCHU Using Single Side Band Mixer to accomplish a local signal generator architecture

SiG35-98B-02b NCHU 2.4GHz Colpitts VCOSiG35-98B-03b NCHU Low Power VCO Using Transformer FeedbackSiG35-98B-04b NTUST The Current Reuse Colpitts VCOSiG35-98B-05b YZU A RF Front-end Transmitter With Building Active Balun

SiG35-98B-06b NCHU Using Source Capacitive Degeneration in Back-gate QVCO With Current Reused Structure

SiG35-98B-07b YZU The design of 3.5GHz cascode Power Amplfier for Wimax system

SiG35-98B-08b NSYSU Utilizing a novel p-n junction inductor to realize in an ultra wideband power amplifier

SiG35-98B-09b NSYSU 5.7 GHz SiGe Low-Noise Amplifier

Page 55: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

150

CIC ANNUAL REPORT 2009

SiG35-98B-10b NCHU Polyphase filter LC coupled QVCO With Current Reused StructureSiG35-98B-11 NCU Broadband Power Amplifier for IEEE 802.11a WLAN ApplicationSiG35-98B-12b NCHU A VCO with tunable range transformer for WLANSiG35-98B-13b NTUST Hight Frequency Injection Locked Frequency Divider by 2SiG35-98B-14b NTUST New Type Divide-by-4 Tail Injection Frequency DividerSiG35-98B-15b NCU Device for flip chip circuit applicationSiG35-98B-16b NCU Differential Class-E Power Amplifier With Integrated BalunSiG35-98B-17b NTUST Low-Power Voltage-Controlled Oscillator in SiGe TechnologySiG35-98B-18b CJCU 2-11 GHz Current Reuse Ultra-wideband Low Noise AmplifierSiG35-98B-19b NCTU K Band Low Noise Amplifier

SiG35-98CIC number Institution Project name (English)SiG35-98C-01b YZU 2.6GHz Power Amplifier design for Wimax system applicationSiG35-98C-02b NTUST Design of Automatic Gain Control Front-End Optical ReceiverSiG35-98C-03 NTUT Design of a High Performance Broadband VCOSiG35-98C-04b YZU The Design of Dual-band RF Power Amplifier For WiMax/WLAN ApplicationsSiG35-98C-05b NCU A K-band voltage-controlled oscillator with wide tuning range

SiG35-98C-06b NTUST Single Output for Light Emitting and Receiving Device of Integrating Circuits for Optical Interconnect

SiG35-98C-07b NCU 24 GHz Injection-Locked Phase Array Circuit using Frequency Doubler Technique

SiG35-98C-08b NCU A high-sensitivity CBCM (charge-based capacitance method) circuit with interdigital capacitor for detection protein

SiG35-98C-09t NCU Interdigital Capacitor for detecting proteinSiG35-98C-10b NCU A protein detection ring-type oscillator using interdigital capacitorSiG35-98C-11b NTUST Design of a Low-Power Voltage-Controlled OscillatorSiG35-98C-12b NTUST A Novel ILFD with Switching BandSiG35-98C-13b NTUST Dualband ILFD using twin oscillation tank structureSiG35-98C-14b NTUST Double Cross-Coupled Active Inductor ILFD

SiG35-98DIC number Institution Project name (English)SiG35-98D-01b NCHU 3.5GHz Fully Intergrated SiGe Power Amplifier

SiG35-98D-02b NCU High Linearity 2.4 GHz SiGe Power Amplifier Using Feed -forward Dynamic Bias Technique

SiG35-98D-03b NCYU Realizes 50 divisor by the NDR Frequency Divider

SiG35-98D-04b KSU Implementation of Wide Tunging Range Voltage-Controlled Oscillator By Differential Amplifiers.

SiG35-98D-05b NCHU A VCO with transformer for WLANSiG35-98D-06b NCU A class inverse F power amplifierSiG35-98D-07b NCYU Multi-selected and Multi-valued Logic Circuit DesignSiG35-98D-08b NCU A cascode and harmonic tuned power amplifier

SiG35-98D-09b NTHU The Design of Novel Image Sensor for Low-Illumination and Wide-Dynamic Range Applications

SiG35-98D-10b NCHU A highly linear noise reduction double balance mixer for direct down conversion 802.11a/b/g system

Page 56: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

151

SiG35-98D-11b NTUST Low-Power QVCO with an Innovative StructureSiG35-98D-12 NCTU A Signal path synchronization tunable delay circuitSiG35-98D-13b NSYSU High Linearity RF Mixer Performed in 802.11b/g StandardSiG35-98D-14b NTUST A Push-Push Quadranture Voltage Controlled Oscillator

T13RF-98AIC number Institution Project name (English)T13RF-98A-001t NCCU An Analysis of Substrate Effects of transmission line inductors for V band

CMOS MMW ApplicationT13RF-98A-002b NCHU A Low Voltage Frequency Synthesizer with a Fractional phase-rotating

T13RF-98A-003b NCHU Wide bandwidth Voltage controlled Oscillator Using a Mutual-Negative

Resistance TechniqueT13RF-98A-004b NCHU low_voltage VCO and doubler frequency circuit

T13RF-98A-005b NCHU A Wideband Voltage Control Oscillator for U band Applications

T13RF-98A-006b NCHU A Wideband LNA for K-Band Applications

T13RF-98A-007 NCCU A V-band direct injection locked divide-by-three frequency dividerT13RF-98A-008b NCCU A wide Band VCO for V-Band application by using Filter and Double

Varactors Technique.T13RF-98A-009 NTU A loading-free pipelined adc without discharge phaseT13RF-98A-010b NCU Designed a sub-harmonic resistive mixer

T13RF-98A-011b NCU Low phase noise CMOS VCO with intrinsic varactor applied in Ka-band

T13RF-98A-012b NCCU Design of the 2.5-dB NF CMOS Ultra-Wide band Low Noise Amplifier

T13RF-98A-013b NCU Using power combine technology with V band of power amplifier

T13RF-98A-014b NTU 24 GHz Mixer

T13RF-98A-015 NCCU An Injection Lock Frequency divided-by-two Divider for V-Band application by using Double-Varactors technique

T13RF-98A-016b FCU A Low Jitter Arbitrary-input Pulsewidth Control Loop with Wide Duty Cycle

AdjustmentT13RF-98A-017b NTUST A wide tuning-range voltage-controlled oscillator

T13RF-98A-018b NTUST The Wide Locking Rang Frequency Doubler by 3 Injection Locked Frequency

DoublerT13RF-98A-019b NTUST A novel injection-locked frequency multiply-by 3

T13RF-98A-020b NCU Body drive ultra-wideband ring mixer

T13RF-98A-021b NTUST A Novel Resonator VCO with 0.13μm CMOS Proces

T13RF-98A-022b NTUST Ultra Wide Locking Range ILFD using Active Inductor

T13RF-98A-023b NTUST Wide Locking Range ILFD by 3

Page 57: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

152

CIC ANNUAL REPORT 2009

T13RF-98A-024b NTUST A Wide Locking Range Divided By 3 ILFD Using An Active Inductor

T13RF-98A-025b NTUST Using Body Coupled to Accomplish Quadrature VCO

T13RF-98A-026b NTUST A Novel Resonator Low Phase Noise VCO

T13RF-98A-027b CGU A 10~30-GHz CMOS Distributed Amplifier for UWB

T13RF-98A-028b CGU 15~20GHz Voltage Control Oscillator Circuit Design

T13RF-98A-029b NCKU A 6-bit 1GSPS Flash ADC with Step-Shifted Background Calibration

T13RF-98A-030b NCKU A Low power, High Gain LNA for V-band application

T13RF-98A-031b NCKU Double-Balanced Folded Gilbert cell Mixer for 24GHz Vehicular SRR

ApplicationT13RF-98A-032b NCKU Mixer for 60GHz WPAN and UWB co-existence system application

T13RF-98A-033b NCKU Low voltage mixer for V-Band application

T13RF-98A-034 NCKU A receiver for 60GHz WPAN and UWB co-existence system application

T13RF-98A-035 NCTU A Sub-Harmonic Injection-Locked Oscillator with Fundamental and Second Order Suppression

T13RF-98A-036b NCKU V-band low phase noise voltage controlled oscillator

T13RF-98A-037 NCKU Wide band mm-wave voltage controlled oscillatorT13RF-98A-038b NCCU Study of A 4.32mW Low Power, High Gain and Flat Low Noise Distributed

Amplifier for Ultra-Wide-Band System Applications.T13RF-98A-039b NCU V-band LNA Using Parallel Resonant Inductor to cancel noise

T13RF-98A-040 NCTU All Digital Phase-Locked Loop With New Locking MethodT13RF-98A-041b CGU 10~30GHz UWB Low-noise Feedback-compensated Amplifier for WiMAX

ApplicationsT13RF-98A-042b CGU 10-30GHz Low Power Impulse-Based UWB Transmitter

T13RF-98A-043b CGU 24-GHz CMOS Ultra-Low-Power Down-Conversion Mixer

T13RF-98A-044b NCKU A 60 GHz Active Bandpass Filter using 0.13-μm CMOS Technology

T13RF-98A-045tb NCCU The study of substrate loss of a transmission line inductor for millimeter-

wave applicationT13RF-98A-046b NCCU The Study of 60-GHz Wide Locking Range Direct Injection Locked

Frequency DividerT13RF-98A-047b NCCU Study of 21-29GHz bandpass filters for Ultra Wide Band

T13RF-98A-048b NTHU V-band Wide-Locking-Range Frequency Divider

T13RF-98A-049 NCTU High-Isolation 60-GHz Sub-harmonic Up-conversion Mixer with Passive Components

Page 58: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

153

T13RF-98A-050b NCTU 60-GHz Sub-harmonic Up-conversion Mixer with Passive Components Using

Leveled-LO TopologyT13RF-98A-051b NCTU trifilar-type 10GHz low-noise amplifier

T13RF-98A-052b NTUST Design of a low power consumption Quadrature VCO with Forward-body-

bias(FBB) MOST13RF-98A-053 NTU 60-GHz Resonance Pre-matched Power AmplifierT13RF-98A-054b NCCU The 24GHz Low Noise Amplifier Using Current-Reuse Technique

T13RF-98A-055b NTU A Dual-mode Low-pass Filter for 802.11a/Bluetooth Receiver

T13RF-98A-056b NTU Multi-Band RF Front-End Circuits

T13RF-98A-057b NCU A 57GHz Gm3 Cancellation enhance Linearity CPWG Low Noise Amplifier

T13RF-98A-058b NCU A 57GHz Low Power Consumption Bulk Driven Double Balance Down Mixer

T13RF-98A-059b NCHU A 4 GS/s 6bits Flash ADC with resistive averaging calibration technique

T13RF-98A-060b NTU V-Band IQ Receiver

T13RF-98A-061b NCU A Low Phase Noise Gm-Booted VCO applied in Ka-Band

T13RF-98A-062b KSU Implementation of a transmitter front-end for Short Range Radar System with

high testabilityT13RF-98A-063 NTU 60GHz subharmonic mixerT13RF-98A-064 NTU A 0.5V one clock per conversion SA ADCT13RF-98A-065tb NCCU The testkey of Marchand balun on silicon substrate

T13RF-98A-066 NTU 21~27 GHz High Efficiency Power Amplifier with Wide Power BandwidthT13RF-98A-067b NCKU A 24-GHz CMOS Doubly-balanced Folded Down-conversion Mixer for

Vehicular SRR ApplicationT13RF-98A-068b NCKU A 60-GHz CMOS T/R Switch Using Body-Biasing Technology

T13RF-98A-069b NCCU The Low Noise Amplifier For UWB System Applications

T13RF-98A-070b NTU V-band IQ Transmitter

T13RF-98A-071 NCU Design and Implementation of 6-Gb/s Half-Rate Clock and Data Recovery Circuit for SATA-III Application

T13RF-98A-072 NTU 60GHz ASK TransceiverT13RF-98A-073b NTU 60GHz ASK Receiver

T13RF-98A-074b NTU 60GHz Transmitter Using ASK Modulation With Distributed Active

Transformer Power AmplifierT13RF-98A-075b NTHU A 24GHz low power LNA with input impedance and noise simultaneously

matchingT13RF-98A-076b NCKU A 8-bit 100MS/s cyclic binary search ADC

T13RF-98A-077 NTU A 2-50 GHz Active Balun

Page 59: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

154

CIC ANNUAL REPORT 2009

T13RF-98A-078b NCCU A Compact 77 GHz CMOS Bandpass Filter

T13RF-98A-079b NCCU Design of Ultra-wideband Low Noise Amplifier for 21~29GHz applications

T13RF-98A-080 NTU All-Digital Delay-Locked Loop with static phase error calibration technique for power supply voltage drifting

T13RF-98A-081 NTU A 24 GHz High Gain and Low Power Low Noise AmplifierT13RF-98A-082b NCCU A V-Band CMOS Low noise amplifier with small Group Delay Variation

T13RF-98A-083b NCCU A Low Insertion Loss Band-pass Filter for 60GHz Application

T13RF-98A-084b NCCU The design of V-Band VCO.

T13RF-98A-085 NTU 55-80 GHz Demodulator Using CRLH Quadrature Power Splitter

T13RF-98A-086 NCTU Low-Voltage and Ultra-Low Power Mixer Design using Forward Body Biasing Technique

T13RF-98A-087b NCKU The implementation of a triple-mode programmable 24-GHz frequency

dividerT13RF-98A-088 NTU A 5-GHz Relative-Phase Cancellation Fractional-N Frequency SynthesizerT13RF-98A-089 NCU 24 GHz LNA with Linearized TechniqueT13RF-98A-090b NTU Simultaneous Bidirectional Transceiver with Adaptive Pre-emphasis

T13RF-98A-091b NTHU Differential 8Vpp OC-768 EML Driver

T13RF-98A-092 NTHU A 20Gbps 4-port STDM Switch IC Switching Directly on High-speed DomainT13RF-98A-093b NTHU A low cost direct-conversion receiver front-end for 3.1~10.6 GHz UWB

applicationT13RF-98A-094b NTHU Using Enhanced Locking Range Technique in Miller Frequency Divider

T13RF-98A-095b NCKU 60 GHz Wide-locking-range Divide-by-3 Injection-Locked Frequency Divider

T13RF-98A-096b NCCU A UWB Low Noise Amplifier for 58-64 GHz Application

T13RF-98A-097 NCCU 60GHz direct-conversion receiver front-endT13RF-98A-098b NTU A 7.5G delay locked loop frequency multiplier

T13RF-98A-099 NCTU A Wideband Sub-harmonic MixerT13RF-98A-100 NCTU Dual-band VCO using composited resonent tank

T18-98AIC number Institution Project name (English)

T18-98A-01a NUK The Hardware Implementation of High-Efficiency Architecture for ECC in Projective

T18-98A-02a NTHU IEEE Standard 1500-Based Embedded Delay Test Framework for Delay Testing of Multiple Clock Domains in System-on-Chip Designs

T18-98A-03a NTHU A multiple-mode LDPC decoder design with low complexity based on IEEE802.16e standard

T18-98A-04a NSYSU All Digital Frequency Synthesizer with Flying-Adder ArchitectureT18-98A-05a NTUST All digital and high speed frequency mirror circuit

Page 60: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

155

T18-98A-06a NSYSU Study and Implementation of Implantable SCS Micro Stimulator SOC Using Gate Control Theory

T18-98A-07a NSYSU Circuit Design of Fast Fourier Transform for DVB-H Systems

T18-98A-08a NTUST The Design and Verification of an ARMv4T Instruction Set Arvhitecture Compatible 32-bit Microproecssor IP

T18-98A-09a NCU Design of a wireless-controlled parallel built-in self-repair circuit for RAMs

T18-98A-10 NCTU A Broadband Low Noise Amplifier using Complex Derivative Cancellation Technique for Ultra-Wide Band Wireless System

T18-98A-11 NCTU A Harmonic Current Injection Frequency Tripler CancellationT18-98A-12 NTU Low Power CBSC Delta-Sigma ModulatorT18-98A-13 NTU Delay locked loops Phase error calibration with different reset time of PFDT18-98A-14 YZU A 24GHz CMOS Power Amplifier with Patterned Shielding Transformer

T18-98A-15 NTU A Full 802.11a Band Ultra Low Power and Ultra Low Phase Noise Gm-Boosting VCO Using Complementary Transformer Feedback

T18-98A-16 NUK QMSI technique for 60 GHz Balun ApplicationT18-98A-17 NTU 20Gb/s AC coupled Interconnect Chip-to-Chip ReceiverT18-98A-18 NCU A Ku-Band Amplitude Redistributed Voltage Controlled OscillatorT18-98A-19 NTU 5-15-GHz Darlington PAT18-98A-20 NCCU 5.2GHz Low Noise and High Gain Single-balanced Mixer

T18-98A-21 NCTU Biomedical Image Sensor with 4T Architecture and Dynamic Range Improvement

T18-98A-22 NTU A Low-Power Broadband AmplifierT18-98A-23 NSYSU An RF Sensor for Cognitive Radio ApplicationsT18-98A-24m NTU A square-array resonator for reduced motional resistanceT18-98A-25 NTU 1.5Ghz All Digital Spread Spectrum Clock GeneratorT18-98A-26 NTU 400MHz/900MHz/2.4GHz Multi-Band Low-Power Wireless FSK Transmitter

T18-98A-27 NSYSU A Wide band and Low Phase Noise Fractional-N Frequency Synthesizer for the Implementation of DVB Up-converters

T18-98A-28 NTU GSM/WCDMA Continuous-Time Quadrature Bandpass Delta-Sigma ADC with I/Q Mismatch Shaping Technique

T18-98A-29 NTU Design and Implementation of Fully Integrated CMOS Rectifier with Load Shift Keying for Wirelessly Powered Bioimplantable Applications

T18-98A-30 NTU 24GHz LNAT18-98A-31 NTU A Direct Conversion UWB RF Front End for MB-OFDM ApplicationT18-98A-32 NCKU Low-voltage Miller Divide-by-three Circuit for UWB RF Synthesizers

T18-98A-33 NSYSU A 2.6 GHz Class-E Power Amplifier Design using Power-Combining technique for Envelope-Tracking transmitter applications

T18-98A-34 NTU A wideband frequency synthesizer with programmable active core

T18-98A-35 NSYSU DVB-H RF Receiver Design using Double-conversion Architecture with a second Zero IF

T18-98A-36 CGU A Miniaturization Open-loop Resonator Ultra wideband Band-pass Filter in 0.18μm CMOS process

T18-98A-37 NCHU Low power PLL use inductance feedbackT18-98A-38 NTUST A Current Reuse VCO with Body Voltage VariableT18-98A-39 NTUST A Quadrature VCO using Amplitude enhance InductorT18-98A-40 NTUST Low power consumption VCOT18-98A-41 NTUST A Low Power Armstrong realized Low Phase Noise Voltage Control OscillatorT18-98A-42 NTUST Realized Low Phase Noise Voltage Control Oscillator using Current ReusedT18-98A-43 NTUST The novel diode coupling of Quadrature VCO

Page 61: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

156

CIC ANNUAL REPORT 2009

T18-98A-44 NTUST A 5G CMOS Complementary Colpitts Quadrature VCO using Ring StructureT18-98A-45 NTUST Wide-band ILFD based on the enhanced cross-couple inductorsT18-98A-46 NTUST wide range injcetion locked Quadrature frequency divider by 2T18-98A-47 NTUST A Novel of ILFD by 2T18-98A-48 NTOU Design of a Frequency Synthesizer for OC-192 Applications

T18-98A-49 CGU 60GHz Meander Loop Dual-Mode Band-pass Filter Using 0.18 μm Standard CMOS Technology

T18-98A-50 CGU Realization of a self-bias high-performance LNA followed by a BPF with 0.18-μm CMOS Technology.

T18-98A-51 NTUST A Wide Locking Range Divider-By-3 ILFDT18-98A-52 NTUST A Wide Locking Range Injection Locked Frequency DividerT18-98A-53 NTUST A Wide Locking Range Quadrature ILFD Implemented with Inductor CouplingT18-98A-54 NTUST A Wide Locking Range Divider-By-4 ILFD

T18-98A-55 LHU An 3~10GHz Ultra-Wideband CMOS LNA Design using cascade-cascode configuration

T18-98A-56 LHU A 5.2GHz CMOS LC Quadrature VCO for Low-voltage、Low-phase noise Operations

T18-98A-57 YUNTECH A Low Phase Noise and Wide Tuning Range CMOS VCO Using a Transformer

T18-98A-58 YUNTECH Low power of 10GHz current reused VCO using NMOS cross coupleT18-98A-59 YUNTECH Design of a 1 ~ 10GHz Low Power LNA ApplicationT18-98A-60 NCU Designed a Marchend balun used offset stacked transmission-linesT18-98A-61 NCU Designed a single-balanced mixer used stacked Marchend balunT18-98A-62 NCU Designed a dual balun used offset stacked transmission-linesT18-98A-63 NCU Designed a star mixer used stacked Marchend balunT18-98A-64 NCTU A 17MHz OTA with -60dB THDT18-98A-65 NCTU A Continuous-Time Active-RC Delta-Sigma ADC for Bluetooth

T18-98A-66 LHU A 2.4GHz Variable Conversion Gain Mixer for Low-voltage、Low-power with Body bias control Technique

T18-98A-67 NTUST An All-Digital Delay-Lock Loop with Fast Lock Variable SAR Algorithm And Recursive binary search Algorithm

T18-98A-68 STU Implementation of low power array multiplier based on CMOS and PTLT18-98A-69 STU Novel High efficiency 14T Bypass Full AdderT18-98A-70 YZU Broadband mixer with low voltage design for 3.1GHz~8GHzT18-98A-71 YZU 使用變壓器架構之24GHz CMOS 功率放大器

T18-98A-72 NCKU A Dual Band Quadrature VCO Using the Optimized Switchable Differential Inductor

T18-98A-73 NCKU A Current Reuse Divide-by-3 Injection-Locked Frequency Divider with Single-Ended Input

T18-98A-74 STU Implementation of a new Architecture SRAM and Low Power ApplicationT18-98A-75 NTHU SPDT T/R Switch for V-band Applications

T18-98A-76 NCKU The Jumping-Locked Technique in A Fast-Hopping Frequency Synthesizer for GSM (1.8GHz)/WLAN (2.4GHz) Coexistence Systems

T18-98A-77 CGU The High Resolution Delta Sigma Modulation for Biomedical ApplicationT18-98A-78 STU Improvement of low power 2-Dimensional bypassing multiplier designT18-98A-79 YUNTECH A 5.2mW Low Power UWB CMOS LNA With Current-Reuse StructureT18-98A-80 NCCU Design of Wide Range and High speed Ring Voltage Controlled Oscillator

Page 62: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

157

T18-98A-81 NTUST A 5.3GHz Frequency Synthesizer with a novel Frequency Divider and Loop Filter

T18-98A-82 NTOU Design of a Low-Voltage Dual-Band LNA for WiMAXT18-98A-83 NTHT 0.1-1GHz Wide-band Noise cancellation and variable gain LNAT18-98A-84 NTU V-Band Coplanar Bandpass Filter by EBG StructureT18-98A-85 NCTU Integrated chip design for temperature sensor and body temperature switchT18-98A-86 NCKU Push-Push VCO For V-Band Application

T18-98A-87 NCU Design of Continuous-Time Tow-Thomas Filter with Auto-Tuning Mechanism for DVB-T/H Receiver

T18-98A-88 NCCU Apply for 1.5V wide band low phase noise Ka-band Voltage Controlled Oscillator

T18-98A-89 NCU A CMOS High Efficiency Power AmplifierT18-98A-90 NCU A Ku-Band Integral-N Phase Locked LoopT18-98A-91 NCU A mm-Wave Broadband Quadrature Mixer

T18-98A-92 NCU A Wide Locking Range and Low power Voltage Controller ILFD applied in Ku-band

T18-98A-93 NTUST The Dual-band co-Injection ILFD by 2

T18-98A-94 NCTU A Feedforward Fourth-Order Continuous Time Delta Sigma ADC with 3MHZ Bandwidth

T18-98A-95 YUNTECH A high conversion gain flatness current injection CMOS Mixer For UWB Application

T18-98A-96 YUNTECH A dual wideband (2~6 GHz、8~12GHz) Low-Noise Amplifier with Notch-Filter Technique

T18-98A-97 NTUST Current mode SAR adjusted Delay-Locked LoopT18-98A-98 NCCU 2.4GHz Low Power and Low Noise MixerT18-98A-99 NCCU 5.2~5.8 GHz Low Voltage Mixer integrated with VCOT18-98A-100 FCU A ultra low power consumption CMOS front-end for UWB application

T18-98A-101 FCU A high linearity RF frond–end used the active diode to enhance the linearity for WiMAX system application.

T18-98A-102 FCU A CMOS Power Amplifier with integrated diode linearizer for WiMAX system application

T18-98A-103 FCU 24GHz Low Noise AmplifierT18-98A-104 NCTU CMOS millimeter wave wideband monopole chip

T18-98A-105t YZU On-wafer device characterization using Millimeter wave ELST calibration technique

T18-98A-106 FCU Using Shunt Resistive-Feedback to Design Ultra-Wideband Low Noise Amplifier

T18-98A-107 NTUST Highly Accurate Duty Cycle Corrector Based On Successive Approximation Register

T18-98A-108 NTUST Low phase-noise and low power with second Harmonic VCO

T18-98A-109 NCHU High Efficiency, High Exact DC to DC Buck Converter with Programmable Energy Modulation Technique

T18-98A-110 NTU A Design of 24-GHz Low-Noise Amplifier

T18-98A-111 NCCU A high amplitude-balance VCO with auto-transconductance- matching technique

T18-98A-112 NCCU A compact 60GHz band-pass filter with ground plane raise techniqueT18-98A-113 NCKU A Current Reuse Push-Push VCO

T18-98A-114 NTHU A K-band shunt resistive-feedback wideband LNA using 0.18μm dual-gate MOSFET

Page 63: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

158

CIC ANNUAL REPORT 2009

T18-98A-115 NCTU Power parallel-combined techniques for RF CMOS class E power amplifier design

T18-98A-116 NCTU A 5.8GHz power amplifier design for 802.11a linear system

T18-98A-117 NCTU A Low-Voltage/Low-power Low-Noise Amplifier for 3-5GHz Ultra-wideband System

T18-98A-118 NCTU RC Feedback UWB LNA with Noise ReductionT18-98A-119 NCTU A Noise-Canceling 3.1-10.6GHz CMOS LNAT18-98A-120t NCKU Study of Switchable Differential Inductor for Dual-band ApplicationsT18-98A-121 NTUST A Low voltage divide-by-two injection-locked frequency dividerT18-98A-122 NCU K-band LNA with Linearized Technique

T18-98A-123 NCKU Low Power Wideband High Performance Trifilar Coupled Transformer Feedback Voltage-controlled Oscillator

T18-98A-124 NCKU The Novel True Single-Phase clocked Quadrature Divide-by-Three for MB-OFDM UWB Synthesizer Applications

T18-98A-125 NCKU A Low Voltage CMOS Voltage Controlled Oscillator Design Using Transformer Feedback for mm-Wave Wireless Communications

T18-98A-126t NCKU A Novel Anti-Coupling Inductor StructureT18-98A-127 NCU A low power VCO for MICS system

T18-98A-128 NCKU A Low Voltage High Performance Transformer Band-Pass Resonator Colpitts VCO for MB-OFMM UWB Synthesizer Application

T18-98A-129 NCCU 0.1~8GHz SDLA RF power detectorT18-98A-130 NTUST Design of A New Wide Locking Range Frequency Doubler

T18-98A-131 NCCU 1.2V 2.5Gbps Clock and Data Recovery with Extended Linear Control Range VCO

T18-98A-132 NCU An implanted neural signal acquisition circuit with low-cutoff-frequency tuningT18-98A-133 NCHU Low Voltage CMOS VCO Using Transformer Feedback

T18-98A-134 NCCU Applied for 1 volt low power wide-band Injection-Locked divide-by-four Frequency Divider

T18-98A-135 NCCU A 24 GHz CMOS RF receiver front-end

T18-98A-136 CYCU A 6-b GS/s Flash ADC with Distributed Track-and-Hold Pre-Comparators in a 0.18-μm CMOS using foled decoder

T18-98A-137 NTUST Mixer Feedback with Series Resonator Divide By 2 ILFD (Injection Locked Frequency Divider)

T18-98A-138+m NCTU An RF MEMS T/R MEMS Switch with Low-actuation Voltage and Low

Insertion lossT18-98A-139 NCHU A new local signal generator architecture - VCO and DividerT18-98A-140 NTU A low power 2.4GHz wireless receiver for WPANT18-98A-141 CGU Design of Down-Conversion Mixer With Active Balun for DVB-S

T18-98A-142 CGU Design of a low phase noise VCO with injection locked frequency divider and ECL DFF divider for PLL

T18-98A-143 NTUST A Novel ILFD by 3 Implemented with Active Inductor

T18-98A-144 NCCU A K-Band Low Noise Amplifier Using Gain and Reverse-Isolation Enhancement Technique

T18-98A-145m NCKU MEMS technology of the Wilkinson power dividerT18-98A-146 NSYSU Highly Linear Mixer and BALUN for WiMAXT18-98A-147 NSYSU High Dynamic Range WiMAX Front-End CircuitT18-98A-148 NCTU 2.4-GHz Low-power Low-noise ReceiverT18-98A-149 NTU Fast-locking Frequency Synthesizer Using Phase Error Compensation

Page 64: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

159

T18-98A-150 NTUST A low-power 2.9GHz LC quadrature VCOT18-98A-151 NCKU A novel MMIC doubly balanced diode mixer

T18-98A-152 NCTU High power-handling capability and low insertion loss of T/R switch for Ultra wideband application

T18-98A-153 NCTU The design and implementation of Sigma-Delta modulator in audio band applications

T18-98A-154 NCTU The 10GHz Ultra-Low-Power differential VCO with Transformer FeedbackT18-98A-155 NCCU Multi-Band CMOS VCO for WiMAX system

T18-98A-156 NTUST A Frequency Synthesizer with An Improved Charge Pump And A Low Phase Noise Differential Ring Oscillator for UHF Band Application

T18-98A-157 NTUST An Injection-Locked Frequency Divider by Two Implemented with the Active Inductor and the Gilbert Cell

T18-98A-158 NTUST A 57% Wide Tuning Range of 5GHz CMOS VCOT18-98A-159 YUNTECH A 5.2-GHz Low Voltage Quadrature VCOT18-98A-160 YUNTECH A 5.2GHz Low Voltage, Low power CMOS VCOT18-98A-161 NTUST CMOS Cross-Coupled ILFD

T18-98A-162 NCTU A 100MHz-1.6GHz DLL-Based Clock Generator with Switching Detector for Switching Glitch Reduction

T18-98A-163 NCCU Design and Implementation of Front-end for Micro-wave communication Receiver Applications

T18-98A-164 NCCU A Broadband LNA For 21-27-GHz UWB ReceiversT18-98A-165 NCU Ka Band LNA based upon Slow Wave Transmission LinesT18-98A-166 NCU Ka band Low loss Fully 360°Phase Shifter with DRLTT18-98A-167 NCU A low voltage transformer-feedback VCO applied for ka-bandT18-98A-168 NTUST A Boosting Injecting Into Substrate Voltage Control OscillatorT18-98A-169 NTHU A mixer for 3.1-4.8 GHz UWB application

T18-98A-170 NTUST A divide-by-2 injection-locked frequency divider with wide locking range on X-band application

T18-98A-171 NTHU A X-band Mixer with LO power PVT auto-calibrationT18-98A-172 NTUST Design of a 3.1–8 GHz Front-end for 9-Band MB-OFDM UWB Receiver

T18-98A-173 NCKU A 60 - GHz Millimeter Wave CMOS High Selectivity Bandpass Filter using stacked Spiral-Resonators

T18-98A-174 NCKU A 60-GHz Millimeter-Wave CMOS Integrated On-chip Yagi-antenna and Bandpass Filter

T18-98A-175 NCCU Study of Low noise amplifier for 24GHz ACC (Adaptive Cruise Control) Radar system Applications.

T18-98A-176 NCCU The design of ultra-wideband I/Q sub-harmonic demodulatorT18-98A-177 NCCU The design of ultra-wideband mixerT18-98A-178 NTU A high-speed pole-calibration digital-to-analog converterT18-98A-179t CGU Testkey of switch multi-section frequency application on variable inductorT18-98A-180 NCCU A cross-gm-boosted fully-differential LNA

T18-98A-181 NCCU A fully differential LNA with 35-dB variable gain range base on body gain-control method

T18-98A-182t NCTU The Large Size Test-key of Asymmetric MOST18-98A-183 NCCU A Current-Reused VCO Use Indirect-Load to Ruduce Phase Noise

T18-98A-184 NCCU Balanced-to-Unbalance Power Combiner Design Using Trifilar Transformer with an Impedance Transformation Ratio of 1:4

T18-98A-185 NTU Frequency Tunable Low Noise Amplifier for Cognitive Radio

Page 65: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

160

CIC ANNUAL REPORT 2009

T18-98A-186 NCCU A 2.4/5.2GHz Reconfigurable Dual-Band CouplerT18-98A-187e NTUST The Design of Wide Turning Range VCO for ISM 2.4GHz Application

T18-98A-188e NTUST Appliction of GSM1800 Techology System High Linearly Low Power Voltage Controlled Ring Oscillator

T18-98A-189e NTUST A Voltage-Controlled Ring Oscillator with Low Power and Wide Tuning Range

T18-98A-190e NCTU Wideband MixerT18-98A-191e NCHU Design of 6bits 2GS/s current-steering CMOS D/A converterT18-98A-192e NCTU 5.2GHz Low Phase-Noise Quadrature Colpitts VCO

T18-98BIC number Institution Project name(English)T18-98B-01a NSYSU A low area and high speed Viterbi decoder for WiMAX systemsT18-98B-02a NTU Human Eyes Perception Evaluation Engine for H.264 Video Encoder

T18-98B-03a NTHU Per-pattern delay measurement for small-delay defects detection over HOY test platform

T18-98B-04a NCHU high speed QR-MMSE module design for MIMO OFDM signal detectionT18-98B-05a NSYSU A Low-Power 2-dimensional Bypassing Signed Multiplier

T18-98B-06a FCU An Efficient FFT Processor Using Pipelined Multi-radix Architecture for DVB-T/H Systems

T18-98B-07a NCTU A BIST Mixed-Signal System based on the Controlled Sine Wave Fitting Method for the applications on the HOY wireless test platform

T18-98B-08a NTHU A 10-bit time-to-digital converter with gated-ring oscillatorT18-98B-09 NTU comparator-based switched capacitor 10bit 50MS/s pipelined ADCT18-98B-10 NCTU Design of 5.2GHz Direct Conversion ReceiverT18-98B-11 NSYSU A High-Efficiency DC-DC Buck Converter with input of Sub 3 × VDDT18-98B-12 NCKU Potentiostat for Ampereometric chemical sensorsT18-98B-13 NTPU Interpolating Multiphase Phase Locked LoopT18-98B-14 NTU Multi-band RF frontend for WLAN and WMANT18-98B-15 NCKU High-Precision Wide-Range Time-to-Digital ConverterT18-98B-16 NTU A 24GHz CMOS Direct-Conversion Subharmonic RF Front-EndT18-98B-17 NCKU All digital controlled PLLT18-98B-18 NTU A 1-V 20-GHz Low-Power Phase-Locked Loop in 0.18-um CMOST18-98B-19 NTU 10-bits Self-Calibrated Voltage-to-Code ConverterT18-98B-20 NTU Low Reference Spur Frequency Synthesizer Using Novel Pseudo-LC VCO

T18-98B-21 NTU Low Power and Low Noise Front-End Readout Circuits for Biomedical system

T18-98B-22 NTPU 6-Gbit/s SATAIII Spread Spectrum Clock GeneratorT18-98B-23 NCU 16-61 GHz I/Q MixerT18-98B-24 NCTU High speed DNA sequencing chip

T18-98B-25 NSYSU A Wideband and Low Phase Noise Fractional-N Frequency Synthesizer for DVB Upconverter Applications

T18-98B-26 NTU A 54.5 GHz VCO in 0.18μm CMOS

T18-98B-27 NNU 0.7V Digitally-Enhanced Third-Order low distortion Delta-Sigma Modulators with Double-Sampling Technique

T18-98B-28 NTU 24 GHz Power Amplifier with Bias Circuit for Compensation of Temperature Dependence and Process Variation

Page 66: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

161

T18-98B-29 NTU 24 GHz Low-Phase-Noise VCO

T18-98B-30 NTU Multi-Mode Clock Generator with LDO and Temperature Compensation Circuit

T18-98B-31 NCCU Monopulse Radar Comparator with Angle Scanning Design

T18-98B-32 NTU A 24 GHz CMOS Low-Noise Amplifier with Compensation Circuit for Temperature and Process Variations

T18-98B-33 NTU 11Gb/s I/O via capacitor coupling

T18-98B-34 NSYSU Wideband Class-E Power Amplifier Design for WiMAX/WLAN Dual-mode Envelope-tracking Transmitter

T18-98B-35 NTPU Mixed Voltage I/O Buffer without charge pumpT18-98B-36 NSYSU Mixed-Voltage-Tolerant I/O Cell Using NMOS Clamping TechniqueT18-98B-37 FCU Speed Binning and Calibration Mechanism for On-Chip Self Functional TestT18-98B-38 NCCU A Sub-1V Low-Power 24-GHz Phased-Array ReceiverT18-98B-39 NTUST 900MHz 8 bits passive CMOS RFID Tag with enhanced read rangeT18-98B-40 NOU Design of a 3.1~10.6 GHz Ultra-Wide Band Low-Noise Amplifier

T18-98B-41 NTHU A MEMS Polymer-Based gas sensor and its adaptive interface circuit for an electronic nose chip

T18-98B-42 NTUT A Sub-1V Low Power and High Efficiency Voltage-Controlled DC-DC Buck Converter Using Digital Operational Amplifier

T18-98B-43 NCUE A Folded Mixer for WiMAX SystemsT18-98B-44 LHU A 5.4GHz CMOS LC VCO for Wide-Band OperationT18-98B-45 LHU A 2~6GHz Double Balanced CMOS Mixer DesignT18-98B-46 NCU A Ku Band 2nd Harmonic Suppressing Voltage Controlled OscillatorT18-98B-47 NCU A Ka-Band CPW LNA using Transformer Feedback TechniqueT18-98B-48 NCTU Low power LC-VCO for WiMAX 3.5GHz ApplicationT18-98B-49 NTU Design of a low harmonic distortion balun-mixer

T18-98B-50 LHU A High-Performance Wideband Cascode CMOS 8GHz Quadrature VCO With Q-Enhancement Circuit

T18-98B-51 NTUST Diode Coupled 6-phase VCO with Series ResonatorT18-98B-52 NTUST 6-phase voltage-controlled oscillator using series resonatorT18-98B-53 NTUST Low Voltage Low Power consumption VCOT18-98B-54 NTUT 0.8 -1.4 GHz Multiband differential LNA

T18-98B-55 YZU A Design of 3.1-8GHz Low Supply Voltage Receiver RF Front-end for 9-Band OFDM Ultra-wideband System

T18-98B-56 YUNTECH A Low Power and Wide Tuning Range CMOS VCO Using a TransformerT18-98B-57 YUNTECH A 48% Wide Tuning Range of 5GHz CMOS VCO

T18-98B-58 NCKU 10-bit 100-MSPS Pipelined Analog-to-Digital Converter using low-gain amplifiers

T18-98B-59 NCU K-band Frequency SynthesizerT18-98B-60 NCU 60 GHz phase array circuit using injection locking

T18-98B-61 NCCU A 1V low-voltage and low-power V-Band Injection Lock Frequency Divider-by-4 circuit

T18-98B-62 NCUE The 8-bit abacus adder based on carry look-ahead methodT18-98B-63 NTUT Automatic tuning method of current mode Butterworth lowpass filterT18-98B-64 NTU Design of Low Power & Wide-Band MixerT18-98B-65 NCU V-band BPSK modulator using trilper techniqueT18-98B-66 NTU Design of a Millimeter-Wave High Performance VCOT18-98B-67 NTU 10Gb/s Low Power Limiting Amplifier

Page 67: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

162

CIC ANNUAL REPORT 2009

T18-98B-68 YZU Design of WiMAX/WLAN Dual-band CMOS transmitter RF front-end with High Linearity and Compact size

T18-98B-69 NTU A 24-GHz Fractional-N Frequency SynthesizerT18-98B-70m NKFUST Implementation of 3 Axis digital Accelerometer applying on car accidentT18-98B-71 NTUT A Current Reuse Injection Locked Frequency Divider applied in K-bandT18-98B-72 NCHU VARACTORLESS 10 GHz VCO

T18-98B-73t NCCU An Analysis of Substrate Effects on CMOS transmission-line inductors for Ka-Band Application.

T18-98B-74 NCCU A 1.5V low-power V-Band Injection Lock Frequency divider-by-4 circuit by using of double varactors method

T18-98B-75 NOU Design of a Low Voltage 24-GHz Low-Noise AmplifierT18-98B-76 NDU Optimal LC VCO Design Automation

T18-98B-77 NCTU Design and Implementation of a Low-Power CIFF Structure Second-Order Sigma-Delta Modulator

T18-98B-78 NTUT Design of a fully differential switched-capacitor tunable filter with a new automatic tuning method

T18-98B-79 LHU An 3~5GHz Ultra-Wideband CMOS LNA DesignT18-98B-80m THU 0.18μm CMOS 2GHz RF switch circuit designT18-98B-81 YUNTECH A Low Power High Gain Low Noise Amplifier for 3~6GHzT18-98B-82 NCCU Design of the Low Power Consumption Ultra-Wide band Low Noise AmplifierT18-98B-83 YUNTECH A Gm-Boosted Common-Gate CMOS LNA for Ultra-Wideband ApplicationsT18-98B-84 NCU A differential power amplifier using LC balunT18-98B-85 NTU 24 GHz low-power low-noise amplifier using body bias technologyT18-98B-86 NTU 24 GHz Active Quasi-Circulator in 0.18 μm CMOST18-98B-87 NTU A novel single-sideband mixer with new band selecting techniqueT18-98B-88 NTU Design of Ku-Band High-Performance T/R SwitchT18-98B-89m NTUST A novel low-power 5 GHz quadrature VCOT18-98B-90t NCCU The Study of Inductor for Ultra-wide Band Applications

T18-98B-91 NCTU Fully integrated CMOS power amplifier design using the four ports combination technique for 5.8 GHz linear system

T18-98B-92 NCHU Design of low noise and low LO Power for 5.2GHz DT-MOS MixerT18-98B-93 NCTU A Switched-Capacitor Dual Band Low Noise Amplifier For UWB ReceiverT18-98B-94 CGU Low Phase-Noise 60GHz Push-Push Complementary Colpitts Oscillator

T18-98B-95 NTUST Divide-by 3 injection-locked frequency uses the cross-couple switched transistor

T18-98B-96 NTUST A Novel Series ILFD By 3

T18-98B-97 NCKU A 77-GHz CMOS Bandpass Filter Using an Open-loop Ring Resonator with a Balance Output

T18-98B-98 NCKU A 24 GHz Low-voltage Colpitts VCO and Wide-locking-range Injection-Locked Frequency Divider

T18-98B-99 NCKU A 24-GHz CMOS Doubly-balanced Sub-harmonic Down-conversion Mixer for Vehicular SRR Application

T18-98B-100 NCKU A K-band Active Bandpass Filter using 0.18-μm CMOS TechnologyT18-98B-101 NTUT The Design of New Current-Mode Instrumentation Amplifier

T18-98B-102 NSYSU A sub-3×VDD very wide range bidirectional tolerant I/O cell without body effect using new floating N-well circuit

T18-98B-103 NCCU Design and Implementation of Front-end for Automotive Collision Avoidance System

Page 68: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

163

T18-98B-104 NCCU Application in Broadband Receivers of UWB CMOS LNAT18-98B-105 NTUT A 2.4GHz Low Power Quadrature Voltage-Control-Oscillator

T18-98B-106 NCKU A Low Supply Voltage High Performance Quadrature Signal Generator for GSM/WLAN Co-exiatence System

T18-98B-107 NCKU A Dual Band Quadrature VCO Using the Switchable Differential InductorT18-98B-108 NCKU Wide-band Voltage Controlled Oscillator for UWB ApplicationT18-98B-109 NCKU Ultra low voltage Miller divide-by-three circuit for UWB RF SynthesizersT18-98B-110 NCKU A Fast-Hopping Frequency Synthesizer for UWB Mode-1 ApplicationT18-98B-111 NCCU A Low Power Low Noise Amplifier Using Current Reused Technology

T18-98B-112 NTUT A Wide Locking Range and Low Phase Noise Divide-by-2 Injection Locked Frequency Divider for Wireless Communications Applications.

T18-98B-113 NTUT A wideband Power Amplifier for SDR systemT18-98B-114 NCU 16-61 GHz Low-power Ring Resistive MixerT18-98B-115 NCNU The co-design of Sub-Harmonic Mixer and VCOT18-98B-116 NTUST A novel dual band voltage controlled oscillatorT18-98B-117 NKNU A Dual-Band Current-Reused Low Noise Amplifier for WiMAX ApplicationsT18-98B-118m NCKU 5.8GHz CMOS Power Amplifier with MEMS power combinerT18-98B-119m NTUST A Novel Colpitts Voltage Control Oscillator

T18-98B-120t NTHU Flicker-noise-modulatable Devices Using Poly-Si on Shallow Trench Isolation (STI)

T18-98B-121 NSYSU WiMAX Low Noise Amplifier Design with Noise cancellation Using a Planar Transformer

T18-98B-122 NCCU The application of RF receiver front-end for 24GHz short-range radar system

T18-98B-123 NTHU AN 5µ W 100KS/S SUCCESSIVE APPROXIMATION ADC FOR E-NOSE SYSTEM

T18-98B-124 NTHU Wireless Power and Data Transmission with ASK Demodulator and Power regulator for a biomedical implantable SoC

T18-98B-125 NTHU Low-Noise Low-Power Amplifier for Generic Electronic Implantable DeviceT18-98B-126 YUNTECH A Low Phase Noise VCO with Drain-Gate Transformer

T18-98B-127 NTUST A Wide Locking Range Quadrature ILFD (Injection Locked Frequency Divider)

T18-98B-128 NTU Quantization-noise-resampling delta-sigma frequncy synthesizer for bluetooth application

T18-98B-129 NTU A 3-GHz DLL with a jitter-reduction techniqueT18-98B-130 NTU 4-port transformer feedback for 23GHz VCO designT18-98B-131 NSYSU Low Loss Parallel combing Transformer Design with on Impedance Ratio1:4T18-98B-132 NCKU Implementation of a 12 GHz divide-by-3 regenerative frequency divider

T18-98B-133 KSU Implementation of a transmitter for TDOA wireless location System with high testability

T18-98B-134m NCCU A low-loss CMOS MEMS Switch Using Coupling Mechanism with MIM Capacitor

T18-98B-135 NCU Quadrature VCO with Reflection-Type ModulatorsT18-98B-136 NTU A low phase noise 4.8GHz QVCO implemented with field plate CMOST18-98B-137t NTHU Single-poly floating gate MOSFET Testkey.T18-98B-138 NCCU Study of 3.1-10.6G bandpass filters for Ultra Wide BandT18-98B-139 NCCU Application in 21-29 of bandpass filter for Ultra Wide BandT18-98B-140 NTUST Low Power High Accuracy Smart Temperature Sensor

Page 69: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

164

CIC ANNUAL REPORT 2009

T18-98B-141 NCTU Low Flicker Noise Active Mixer For 5.2 GHz ApplicationT18-98B-142t NTUST Testkeys of high-Q Varactors for RF VCO’s ApplicationT18-98B-143 NCU 24 GHz Wide Locking Range Injection Locked DividerT18-98B-144u NTU A frequency synthesizer for bluetooth with charge pump calibrationT18-98B-145u NTU Flexible Baseband Analog Circuit for Software-Defined Radio Front-EndsT18-98B-146e NTU UWB LNA designT18-98B-147e NTU Low Power Variable Gain Amplifier with Constant BandwidthT18-98B-148e NTHT Third-Order Linear Transformation OTA-C Low Pass Filter DesignT18-98B-149e NSYSU Phase Locked LoopT18-98B-150e NSYSU A High-Efficiency DC-DC Buck Converter

T18-98B-151e NSYSU Fully Differential Amplifier with Switched-Capacitor Feedback for Biomedical Signal Measurement

T18-98B-152e NCUE A 6-bit Current-Steering DAC

T18-98B-153e NCHU A Wide Locking Range Differential CoLpitts Injection Locked Frequency Divider

T18-98B-154e NCHU Noise-Canceling LNAT18-98B-155e NCHU Back-gate Coupled LC Quadrature VCO With Current Reused StructureT18-98B-156e NKFUST A Multi-Phase PLL with Two Stages SAR and DVCT18-98B-157e NCUE Novel 4-bit Binary-Coded Decimal Adder

T18-98B-158e NCHU A Low power、Low Phase noise 2.4G Voltage-controled Oscillator using Dynamic Threshold Voltage Mos

T18-98B-159e NKNU A RFID Tag with a solar cell supplyT18-98B-160e NCHU A Voltage Reference Circuit using Switched CapacitorsT18-98B-161e NTU A 9GHz Low Flicker Noise Voltage Control OscillatorT18-98B-162e YZU UHF RFID Tag CircuitT18-98B-163e NSYSU 8x8-bit Radix-3 Multiplier based on Booth AlgorithmT18-98B-164e NCHU Modified PMOS Charge Pump for Low-Voltage Applications

T18-98CIC number Institution Project name(English)

T18-98C-01a NTHU High Efficiency Low Complexity Sphere Decoder for Soft-Output MIMO Detector

T18-98C-02a NCKU A Parameterized Low Power Convolutional Code Decoder for Wireless Com-munication Systems

T18-98C-03a NTUT Low Luminance Dynamic Range Converter for Driving Safety under Light Insufficient Environment

T18-98C-04a NCHU Design of Low Power Dual-Path PS-LDPC DecoderT18-98C-05a NTUT 2D to 3D Image Conversion System

T18-98C-06a NTU Implementation of a build-in-self-test technique for 1-bit/stage pipelined ADC in the wireless IC testing platform

T18-98C-07a FRUAn Efficient Built-In Self-Repair Scheme for Embedded Memories with Hierarchical Redundancy

T18-98C-08a NSYSU 802.15.4–2006 915 MHz ASK Modulation Base Band part of Transceiver.T18-98C-09a NCTU A cost effective BIST Sigma-Delta ADC for the wireless test platform

Page 70: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

165

T18-98C-10a NTHT Design and Implement on a single chip of transceiver in high speed bridge with clock traffic control management.

T18-98C-11a NKFUST A Low-Cost Design of 8-bit AES Chip

T18-98C-12a NTHU High Performance Video Transform Engine by Using Space-Time Scheduling Architecture

T18-98C-13a NCHU VLSI architecture design and implementation of a 2-D inverse transform with unique kernel for VC-1 decoder applications

T18-98C-14a NCU Online transparent built-in self-repair techniques for RAMs

T18-98C-15a NCU A Reconfigurable At-Speed BIRA Scheme with Optimal Repair Efficiency for RAMs

T18-98C-16a NTHU A Multi-mode Low-Complexity Decoder Design for WiMAX LDPC codes

T18-98C-17a NCTU A 12.9uW sigma-delta A/D converter with 12-bit resolution for a -55˚C~125˚C smart temperature sensor in biomedical applications

T18-98C-18a NSYSU A 2.45 GHz Band Physical Layer of ZigBee TransceiverT18-98C-19a NSYSU The Data-Relayer For Physiological Signal Using IEEE 802.15.4 StandardT18-98C-20 NTPU Low Voltage Temperature SensorT18-98C-21 LHU Q Enhanced Wide-band Low-phase-noise Differential Colpitts VCOT18-98C-22 YUNTECH A Recyclable Pulsewidth Detection All Digital Pulsewidth Locked Loops

T18-98C-23 YUNTECH High Efficiency Embedded All Digital Bi-Directional Transmission Temperature Sensor System

T18-98C-24 NCCU A Series Coupling Current Reuse Quadrature VCO

T18-98C-25 NCTU A low power, high linearity UWB receiver using complex derivative cancellation Technique for Ultra-Wide Band Wireless System

T18-98C-26 NCCU A 12-bit 250-MS/s Current-Steering D/A Converter with Foreground Calibration

T18-98C-27 NTUST Design of 10 GHz Fast Locking Frequency SynthesizerT18-98C-28 NCU Design and Implementation of High Speed EqualizerT18-98C-29 NTU 24-GHz Resonance Pre-matched Power AmplifierT18-98C-30 NTU 24 GHz Wideband Power AmplifierT18-98C-31 NTU Near-field EMI probe chipsT18-98C-32 NNU 0.7V Third-Order low distortion Delta-Sigma Modulators for Audio BandT18-98C-33 NTU All-Digital Delay-Locked Loop with power supply calibration circuitT18-98C-34 NTU 24GHz subharmonic mixerT18-98C-35 NCCU The application of RF receiver front-end for 24GHz short-range radar systemT18-98C-36 NTU X-band High Efficiency Power Amplifier with Wide Power BandwidthT18-98C-37 NTU 22 - 29 GHz Left Handed Distributed AmplifierT18-98C-38m NTU Implementation of CMOS-MEMS dual-axis gyroscope

T18-98C-39 NSYSU A High-Performance Current-Balancing Instrumentation Amplifier for ECG Monitoring Systems

T18-98C-40 NTU A Continuous-Time Low-Pass Filter With Wide Tuning Range and Fast Frequency Tuning Scheme

T18-98C-41 LHU A Design of 5.2GHz QVCO for Low-power、Low-phase noise Operations With Enhancement Negative-Gm Technique

T18-98C-42 LHU An Mixer Design using Gm-boosted configurationT18-98C-43 NTOU Design of a Low-Voltage Ku-Band Voltage Controlled OscillatorT18-98C-44 NCHU A new TCAM Design For Longest Prefix MatchingT18-98C-45 NCU FSK high-data-rate transceiver using injection-locked frequency divider

Page 71: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

166

CIC ANNUAL REPORT 2009

T18-98C-46 NCCU Applied for 1.5V Ka-Band low power wide-band Direct Injection-Locked Frequency Divider

T18-98C-47 NDHU A Highly Linear Mixer for WiMax applicationsT18-98C-48 LHU Low Power Body-injected Mixer with Current-mirror Active-load Technology

T18-98C-49 LHU High-performance Low Power Consumption Cascode Double Cross Couple VCO

T18-98C-50 LHU A Low-Power High-Gain Oscillator Wide-Band CMOS Mixer DesignT18-98C-51 NDHU An RF Front-end with Interference Rejection Function for WiMAX ReceiversT18-98C-52 NDHU A Low-power Power Amplifier for IEEE 802.11a ApplicationsT18-98C-53 NCHU Application of ladder-type spring and high isolation RF MEMS switchT18-98C-54 NSYSU A 1.8 V to 3.3 V Level Converting Flip-FlopT18-98C-55 NTUST The new prototype of high efficiency UHF 8-bit passive CMOS RFID Tags

T18-98C-56 NCU A Ku-Band VCO Using Biasing-Level Shifting And Voltage Dividing Technique

T18-98C-57 NCCU Design of Full Range Controllable Three-stage Ring Voltage Controlled Oscillator

T18-98C-58 NCTU high power-handling capability and high isolation T/R switch using body switching for Ultra wideband application

T18-98C-59 NCKU Design of a High gain Dualband Mixer with Active Balun for Wimax Application

T18-98C-60 NKFUST A Low-Cost High-Accuracy CMOS Digital Temperature SensorT18-98C-61 NCU A High-Voltage Implant Electrical Stimulator for Biomedical ApplicationsT18-98C-62 NCU SUBHARMONIC_MIXERT18-98C-63 NTUST A Vernier-Based Time to Digital Converter with Digital Self-Calibration

T18-98C-64 FCU Design of Miniature Wideband 180° Hybrid Coupler Using A Novel Phase-Inverter

T18-98C-65 FCU A wideband Low-Noise Amplifier for K-bandT18-98C-66 NTUST A Self-calibrated Time-to-Digital with 5ps resolutionT18-98C-67 NTOU Low-voltage, Low-noise Dual-band frequency synthesizerT18-98C-68 YZU Characterization of CMOS active/passive devices up to 110GHzT18-98C-69 NCU A Ka-Band CPW LNA using Transformer Feedback Technique

T18-98C-70 NTU Wireless inter-chip signal interconnect transmitter design using vertical coupled inductors for 3D-IC application

T18-98C-71 NTU Wireless inter-chip signal interconnect receiver design using vertical coupled inductors for 3D-IC application

T18-98C-72 NCCU Study of Flatly Low Noise Distributed Amplifier for Ultra-Wide-Band System Applications

T18-98C-73 CYCU A Switchable Dual-Band Group LNA for UWB MB-OFDM Receiver

T18-98C-74 NCCU A 1.5 V Ka-Band wide-Band and high-efficient in the direct injection locked divide-by-three frequency divider

T18-98C-75 NTUST A 2.5 Gb/s Clock and Data Recovery Circuit Using Separated Loop Filter and Modify Rotational Frequency Detector.

T18-98C-76 YZU The design of a 3 dB NF COMS LNA for 3.1-10.6GHzT18-98C-77 NCTU 9-17GHz Ultra-Wideband Down-Converter

T18-98C-78t NCU The design of suppressing substrate noise coupling of RF circuit of guard ring

T18-98C-79 NCU A CBCM Circuit with Interdigital Capacitor for detection proteinT18-98C-80 NCUE A 1V Low Power 2~11 GHz Direct-Conversion Mixer for WiMAX System

Page 72: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

167

T18-98C-81 NCTU A 320 MHz CMOS Continuous-Time Sigma-Delta Modulator with 10 MHz Bandwidth and 12 Bits Resolution

T18-98C-82 NCCU A 2.6dB NF UWB CMOS LNA Using Splitting-Load inductive peaking Technique

T18-98C-83 NTU Design of 0.6V LNA with Linearization TechniqueT18-98C-84 NCUE A 2~6GHz Low Noise Amplifier for WiMAX SystemT18-98C-85 NCUE A 10-GHz 0.88-mW Low-Phase-Noise CMOS VCO

T18-98C-86 NCTU 10MHz Operational Transconductor Amplifier with Harmonic Distortion cancelation by Feedforward and It Application in Gm-C Filter

T18-98C-87 NCTU Cross-Coupled VCO Using Bias-separating TechniqueT18-98C-88 NCHU A 3.6-to-3.9GHz Divide-by-3 Injection-Locked Frequency DividerT18-98C-89 NTHU Transformer-based Distributed AmplifierT18-98C-90 NTHT An 10-bits 200MHz/s Pipelined ADC with Mixed-Mode Sampling TechniqueT18-98C-91 NTUST A 1GHz Fixed-Phase Pulsewidth Control Loop with Adjustable Duty Cycles

T18-98C-92 NCHU A 2.5-Gb/s Clock and Data Recovery Circuit Using Oversampling and Frequency-Calibrated Techniques

T18-98C-93 YUNTECH Design of Ultra-Wideband Low Noise Amplifier with Inverter ConfigurationT18-98C-94 NCKU 40 GHz Wide-locking-range Divide-by-3 Frequency DividerT18-98C-95 NTU A Robust Multiphase Signal Generation TechniqueT18-98C-96 NTU Broadband Amplifier Using Trifilar TransformersT18-98C-97 NTUST Injection locked ASK modulation transmitter of RFID readerT18-98C-98 NTUST ASK modulation Receiver of RFID reader

T18-98C-99t NCTU Test key of scalable switch modeling for phase shifter with body floating and asymmetric transistor

T18-98C-100 NCKU 24-GHz Low Power Injection-Locked Quadrature Divide-by-Three CircuitT18-98C-101 NTHT 100 MHz 10 Bit Digital Transmitter

T18-98C-102 NTHT Both of Voltage and Current Reference of Bandgap in the Switched-Current 2 Plus 1 Order Delta Sigma Modulator

T18-98C-103 NTUA Wide-Range, Fast-Locking and Anti-Harmonic All-Digital Delay-Locked-Loop Using a enhanced SAR Controller and a Hierarchical Delay of Time-to-Digital Converter

T18-98C-104 NTU A 24-GHz Dual Loop Frequency Synthesizer

T18-98C-105 NCTU Fully intergrated asymmetric-LDD CMOS model power amplifier design of 5.8GHz linear system

T18-98C-106 CGU 10-30GHz Impulse-based UWB ReceiverT18-98C-107 CGU Implementation of The Light and Position Sensor on RFIDT18-98C-108 CGU Oscillator in intensity of illumination of RFIDT18-98C-109 NTU An all-digital deskew clock generator for arbitrary wide range delayT18-98C-110 NCU A differential power amplifier using LC balun

T18-98C-111 NTHU A 1.2-V 5-GHz Low-Power, Low Noise Phase-Locked Loop in 0.18-μm CMOS

T18-98C-112t NCTU The test-kit of RF power device for reliability researchingT18-98C-113 NTHU Surface Acoustic Wave Sensor Array Interface Circuit for a Portable e-NoseT18-98C-114 NTU 24GHz Active Quasi-Circulator in 0.18 μm CMOS TechnologyT18-98C-115 NTU A 60 GHz VCO with ft doubler using 0.18μm CMOS TechnologyT18-98C-116 NSYSU The capacitor-less low dropout regulator applicated on Li-Ion battery

T18-98C-117 NCCU The CMOS Wide Band Low Noise Amplifier For Short-range Automotive radar Applications

Page 73: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

168

CIC ANNUAL REPORT 2009

T18-98C-118 NTUT Desing of high-order active mixed-mode filter using current controlled current differencing transconductance amplifier

T18-98C-119 NCU A Class-E Power Amplifier With Integrated TransformerT18-98C-120 NCU A Low Power Phase -Locked Loop appiled in the Ku BandT18-98C-121 LHU High-Q Active Inductor VCOT18-98C-122t NCCU The testkey of Quadrature Coupler on a silicon substrateT18-98C-123 NCCU Study of 3.1-10.6GHz bandpass filters for Ultra Wide BandT18-98C-124m NTUST A Colpitts VCO with Freqency-Tuning by Parasitic DiodeT18-98C-125m NCCU DC-50GHz quadro-mode SPDT CMOS MEMS switchT18-98C-126 NTU A 24-GHz Frequency Synthesizer with Spur Suppression TechniqueT18-98C-127 NCTU CMOS wideband chip monopole antennaT18-98C-128 NTU Bondwire VCO use Active Inductance Compensation

T18-98C-129 NCU 28GHz Low Power and High gain Common Source Transformer coupling Bulk Driven Double Balance Mixer

T18-98C-130 NCU A 28GHz Gilbert Cell Down Mixer with Current BleedingT18-98C-131 NCU A Ka band Low Power Bulk Driven Double Balance Down Mixer

T18-98C-132 NCU A 28GHz Low Power Consumption and GM3 cancelling method to enhance linearity CPW Low Noise Amplifier

T18-98C-133 NCU A Ka band Cascode Sub-Harmonic Down Mixer with Active Balun and Frequency Doubler

T18-98C-134 NTHU An ultra-low-power 10Gb/s TIA

T18-98C-135 NTU A Spread Spectrum Clock Generator For SATA With Programmable Triangular Modulator

T18-98C-136 NCCU The study of the low-power UWB LNA

T18-98C-137 NCCU A low noise amplifier with inductive peaking technique

T18-98C-138 NCCU A OOK Transmitter for Wireless Bio-sensor System ApplicationT18-98C-139 NSYSU An RF Sensing Circuit of High-Speed Spectrum Scanning

T18-98C-140 NCU A CMOS power amplifier using transmission line for broadband and high efficiency

T18-98C-141 CGU Ultra-Wideband CMOS LNA with Current-Reused Structure, Operation on 3.1-10.6GHz

T18-98C-142 CGU A High performance voltage controlled oscillator on K-Band OperationT18-98C-143 CGU Multi-section inductor resonator in UWB CMOS LC-tuned VCO

T18-98C-144 CGU Ka-Band High Gain Low Noise Amplifier by Stacked GCPW Transmission Line

T18-98C-145 CGU A Low Phase Noise 30/60GHz push-push Voltage Control OscillatorT18-98C-146 NCU a multi-mode diplexer designT18-98C-147 NCU A Ka Band Up-Conversion Mixer using current combiner

T18-98C-148 CGU Power Supply Noise Detector Circuit with Wide Noise Detection Range and High Linearity

T18-98C-149 NTUT 5.2GHz CMOS power amplifier design using the distributed active-transformer

T18-98C-150 NCTU 5.2GHz Low Phase-Noise QVCO

T18-98C-151 NSYSU Injection Locking Techniques for Wide-band and Low Phase Noise Fractional-N Frequency Synthesizer

Page 74: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

169

T18-98C-152 NSYSU A Novel Dual-Band and Wide-Band Tuning Range Voltage Controlled Oscillator Design Adopting On-Chip Transformer Resonating Scheme

T18-98C-153 NSYSU A Wideband Balun LNA for 2~4GHz Exploiting Noise and Distortion canceling

T18-98C-154 NSYSU Low Voltage and On Chip Transformer Based Balun Front-End Circuit Design For WiMAX.

T18-98C-155 NCU A 28GHz UWB Low Noise Amplifier using in MIMO antenna systemT18-98C-156 NSYSU A Wideband RF Receiver with Stacked LNA and MixerT18-98C-157 NTHU 10Gb/s laser/modulator driver output stage

T18-98C-158 NCCU A 2.9 mW amplitude-balanced Quadrature VCO with Spontaneous Transconductance Match Technique

T18-98C-159u NTU With EBG Structure 34-GHz╱60-GHz Dual-Band Coplnar Waveguide Bandpass Filter

T18-98C-160e MUST The Implementation of 2.4GHz VCO Using Active InductorsT18-98C-161e NCKU design of 8-bit 54MS/s successive approximation analog-to-digital converterT18-98C-162e NCKU Adjustable output voltage of low EMI for digitally-controlled DC-DC converterT18-98C-163e CJCU The Design and Research of a High Efficiency OP Amplifier ChipT18-98C-164e NTU A 2.4GHz two stage Class-AB power amplifier

T18-98C-165e NCHU A Double-Switches Track and Hold Amplifier Application for High Speed 6-bit Pipelined Analog-to-Digital Converter

T18-98C-166e NCHU QVCO with Self-Aligning Phase MechanismT18-98C-167e NCUT Two Stage Operation AmplifierT18-98C-168e NCUT Self-Checking Checker Design Based on Borden’s Code

T18-98C-169e NCHU A Low Complexity Dual-Mode Pulse-Triggered Flip-Flop Using XNOR/AND Unified Logic

T18-98C-170e NCHU Mode Programmable Pulse Triggered Flip-Flop DesignT18-98C-171e NCTU A Wideband MixerT18-98C-172e YUNTECH CMOS CHARGE PUMP INTEGRATED CIRCUITST18-98C-173e NCHU High Voltage Drivers without Reliability Issues for Embedded EEPROMT18-98C-174e NCTU 2.4GHz low-noise amplifierT18-98C-175e NCTU Low power low flick noise tuneble mixer

T18-98C-176e NTUT Design of Micropower Switched-Capacitor Third-Order Sigma-Delta Modulator for Digital Audio Applications

T18-98C-177e NCTU A 13bits 150MHz to 1.6GHz Digitally-Controlled OscillatorT18-98C-178e YZU UHF RFID Tag CircuitT18-98C-179 NTU A Broadband CDR for Multi-Media ApplicationsT18-98C-180e YZU UHF RFID SystemT18-98C-181e YUNTECH Low power double edge-triggered flip-flop

T18-98C-182e YUNTECH The research of MOSFET-only high-speed fully differential CMOS sample-and-hold circuit

T18-98C-183e YUNTECH The design of CMOS high-speed fully differential MOSFET-only sample-and-hold circuit

T18-98C-184e YUNTECH The research of very-high-speed fully differential CMOS sample-and-hold circuit

T18-98C-185e NCHU Triangular wave realized sin wave by translinear circuitT18-98C-186e NKFUST Improvement A Multi-Phase PLL with Two Stages SAR and DVC

Page 75: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

170

CIC ANNUAL REPORT 2009

T18-98DIC number Institution Project name(English)T18-98D-01a NTUT Drive IC Design of Direct Torque Control Induction MotorT18-98D-02a NTU A Low Power Receiver for Bio-medical Implantable Applications

T18-98D-03a NTHU Energy-Efficient 128~2048/1536-point partial FFT processor with resource-block permutation for 3GPP-LTE system

T18-98D-04a NTHU An Energy-Saving Cooperative Spectrum Sensing Circuit for Cognitive Radio System

T18-98D-05a NTUT Design a single chip of transmitter in the digital broadband high speed network bridge.

T18-98D-06a NTUST A Jitter Measurement Built-in Self-Test Circuit for All Digital Phase-Locked Loops

T18-98D-07a NCTU Low-Power Fast Independent Component Analysis for Four Channels EEG Signal Separation

T18-98D-08a FCU A Fully Synthesizable Design Flow for High-Speed Dual-Phase Dynamic Logic

T18-98D-09a NCHU Design and Implementation of High-Speed and High Quality H.264/AVC Baseline Profile Intra Frame Coder for HDTV720p Applications

T18-98D-10 NTUT Design of a High Performance Ka-Band VCOT18-98D-11 NTU A delay-locked loop with charge pump calibrationT18-98D-12 CGU Multi-Mode Pulse Width Control LoopT18-98D-13 NCTU A 12-bits 100MS/s Digitally Background Calibrated Pipelined ADCT18-98D-14 NTU A 200 MHz to 2 GHz Wide-Range Digital Phase-Locked Loop

T18-98D-15 NCTU Low Power Low-Noise Direct-Conversion Recevier with Subthreshold Biasing Techniques

T18-98D-16 NCCU A Low-Power Dynamic Threshold Voltage MOSFETS Coupled Quadrature VCO

T18-98D-17 NCTU 2.4-GHz Low-power Low noise ReceiverT18-98D-18 NCKU CMOS Potentiostat for Multiple Ampereometric Chemical SensorsT18-98D-19 NTUST Design of 5.8GHz Phase-Locked Loop for WiMAX Application

T18-98D-20 NCKU A 1-100 GHz 0.18-μm CMOS Coplanar Waveguide to Coplanar Stripline Transition

T18-98D-21 NTU A Low Power and Small Size 10GHz LNA In 0.18μm CMOST18-98D-22 NTU A 21-25 GHz full-360o CMOS Phase Shifter with low insertion loss variationT18-98D-23 NTU A 24-GHz Low Noise Amplifier with DC/RF ESD ProtectionT18-98D-24 NTU 24 GHz Triple cascode power amplifierT18-98D-25 NCKU A 100-uW 10-MS/s 10-bit SAR ADCT18-98D-26 NCTU Dual-Band Class-E Power AmplifierT18-98D-27 NTU 24GHz DoublerT18-98D-28 NCCU A New 4-by-4 Butler Matrix with Six-Beams

T18-98D-29 NTUTri-mode GSM/WCDMA/DVB-T Continuous-Time Quadrature Bandpass Delta-Sigma Modulator for Programmable Digital Low-IF Receivers with Power Scaleable Technique

T18-98D-30 NCCU A Novel Reconfigurable Dual-Band 4-by-4 Butler MatrixT18-98D-31 NTU Ultrasonic Wireless Power

T18-98D-32 NKU A Wide-Range Phase-Locked Loop with Low Voltage and Noise-Immunity for USB 2.0

Page 76: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

171

T18-98D-33 NCTU 5GHz VCO using improvement operate varactor to achieve low-voltage wide-tuning-range

T18-98D-34 NCTU 52GHz parasitic-capacitance tunable VCOT18-98D-35 NTUST A Novel Wide Locking Range Divider

T18-98D-36 TTU A NOISE CANCELING BALUN-LNA DESIGN FOR UHF BAND OF DVB-H RECEIVER

T18-98D-37 NTHU A Peak Detect and Hold Circuit Using Ramp Sampling Approach

T18-98D-38 NTUST Low Phase-Noise and Low Power PLL Chip Design for Optical Communication Applications

T18-98D-39 NTOU Design of a K-Band Voltage-Controlled Oscillator

T18-98D-40 NCCU Applied for 1.5V Ka-Band low power wide-band Direct Injection-Locked Frequency Divider

T18-98D-41 NTUST Development of 60 GHz Millimeter-Wave Bandpass Filter Using Complementary-Conducting Strip Transmission Line

T18-98D-42 NTUT Design of a Low-Voltage Microwave AmplifierT18-98D-43 NTUST Low Voltage Receiver Front-End Chip Design for DSRC ApplicationsT18-98D-44 YZU A 3.5GHz 0.6-V Micro-Power Low Noise Amplifier for WiMAX Application

T18-98D-45 NTHU 200MHz two-level vernier delay line TDC with capacitance capacitance compensation in delay lock loop

T18-98D-46 FCU Using Shunt Resistive-Feedback to Design Ultra-Wideband Low Noise Amplifier

T18-98D-47 FCU A transformer of Low-Noise Amplifier for 24 GHzT18-98D-48 NCKU A 60-GHz CPW-fed Linear Tapered Slot 0.18-μm CMOS On-Chip Antenna

T18-98D-49 NCKU A Compact 77-GHz 0.18-μm CMOS On-Chip DBR Bandpass Filter Using Slow-Wave Structure

T18-98D-50 FCU A wideband Low-Noise Amplifier for K-bandT18-98D-51 NTOU A Ka-Band High-Gain Low-Voltage Down-Conversion Mixer Design

T18-98D-52 NCTU A CMOS Silicon Retina Control Circuit With Pulse Generator, Low-Power Frequency Divder, And Solar Cells For Sub-Retinal Implant

T18-98D-53 NTU A 16~36GHz Dual Quadrature Resistive Down MixerT18-98D-54 NTHU K band Gm-Boosted Common-Gate Miller DividerT18-98D-55 NTHU Design of VCO and Prescaler using Current Reused Technique

T18-98D-56 YUNTECH Using Inverter Structure For 3~6GHz Low Power High Gain Low Noise Amplifier

T18-98D-57 YZU The Design of Fully Integrated RF Power Amplifier For WLAN ApplicationsT18-98D-58 YUNTECH A high gain CMOS Low Noise Amplifier for UWB ApplicationT18-98D-59 NTUST An injection-locked frequency divider with auto-frequency calibration loopT18-98D-60 NKFUST The Chip Design of Tuner in DTVT18-98D-61m NKFUST Design of 3 Axis Vibration Chip

T18-98D-62 NTUT IC Design of Analog Min-Sum Decoder for (8,4) Regular Low Density Parity Check Codes

T18-98D-63 NTU An 11Gb/sec I/O circuit using capacitor coupling

T18-98D-64 NTU A 45fJ/conversion-step, 8-bit Low Power SAR ADC with Rail-to-rail Input Capability

T18-98D-65 YZU Design of 3.5GHz Low Voltage supply receiver front end for WiMAX systemT18-98D-66m CGU MEMS Spring Type SPDT UWB SwitchT18-98D-67 NTU A V-band multiplier-based LO signal generation circuitT18-98D-68 NTU A Design of 24-GHz Differential output Low-Noise Amplifier

Page 77: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

172

CIC ANNUAL REPORT 2009

T18-98D-69 NCU A Ku-Band Current-Reused Colpitts oscillatorT18-98D-70 FCU 18 GHz CMOS Low Noise AmplifierT18-98D-71 NTUST A Low-Voltage Voltage-Controlled Oscillator Applying DTMOST18-98D-72 NTUST A Low-Voltage and Low-Power QVCO with Voltage Boosting Circuit

T18-98D-73 NTUST Design of a Low-Power Pipelined A/D Converter Using Op Amp Sharing Approach

T18-98D-74 YZU The design a dual band VCO for WLAN/WiMAX systemT18-98D-75 NCTU Wide Tuning-Range Voltage Control OscillatorT18-98D-76t NCU 850nm octagon Si photodetector by CMOS process

T18-98D-77t NCU 850nm CMOS Si photodetector with buried deep N-well structure for isolation consideration

T18-98D-78 NCU Linearity improvement of 2.4 GHz power amplifier using dynamic bias technique

T18-98D-79 NTHU Wideband balanced amplifier with noise cancellation techniques

T18-98D-80 CGU Design of A Self Oscillateing Down-Conversion Mixer With Active Balun for DVB-S

T18-98D-81 CGU Design of a LNA with compact meander Balun for K-band Applications

T18-98D-82 NCHU A Low Power High Gain 3.1~5GHz UWB LNA Using Capacitor Resonated Circuit Technology

T18-98D-83 NCHU A Wideband Voltage Control Oscillator for Ka band ApplicationsT18-98D-84 NTU Design of 0.6V Receiver Front-End

T18-98D-85 YUNTECH Dual Current Reused Ultra-Wideband LNA with Source Degeneration transformer technique

T18-98D-86 LHU Design of Low Power RF Front-end Circuit with a Novel LNA ArchitectureT18-98D-87 LHU A High-Linearity Up-Conversion Mixer Utilizing Negative ResistorT18-98D-88 NCKU A double balanced resistive mixer using novel hybrid

T18-98D-89 NTU Wireless inter-chip signal interconnect receiver design using vertical coupled inductors for 3D-IC application

T18-98D-90 FCU A Low Power Low Noise Amplifier For HDTV Satellite Broadcast Systems

T18-98D-91 NTU The Design of 2.4GHz / 5.2GHz Low Noise Amplifier with Transformer Technique

T18-98D-92 LHU A High Conversion-gain Active Mixer with Flicker-noise Reducing TechniqueT18-98D-93 NTUT Design of a 24-GHz High Performance VCOT18-98D-94 NTPU High-Speed Simultaneously Bidirectional Transceiver

T18-98D-95 NCU Using Guard Ring to suppress substrate noise coupling of Low Noise Amplifier

T18-98D-96 NTOU Design of a Ku /K Dualband Voltage-Controlled Oscillator

T18-98D-97 NTOU Low-Voltage Wide-Tuning-Range X-Band Complementary Voltage Controlled Oscillator

T18-98D-98 FCU Design and Implementation of a Low Noise Amplifier for 13GHzT18-98D-99 NTUST A Low-Power Quadrature VCO Using Coupled-VaractorT18-98D-100 NCU A Class-E Power Amplifier With Impedance Matching TransformerT18-98D-101 YUNTECH 10GHz CMOS Wideband LC VCO with Q-Enhancement CircuitT18-98D-102 YUNTECH Ultra-Low power with drain-gate transformer feedback LC VCOT18-98D-103 NCCU RF Power Detector For GSM 900MHzT18-98D-104 NCCU RF Power Detector For 0.9GHz~10GHz

T18-98D-105 CGU Realization of a Quadrature VCO by Trans-directional Periodic Coupled-Line Couplers and On-Chip Phase Measurement Mechanism

Page 78: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

173

T18-98D-106 YUNTECH A Low power VCO with negative resistance promotion for IEEE802.11a application

T18-98D-107 NCCU Study of 2.17mW Low Power, Low Noise Amplifier for Ultra-Wide-Band System Applications.

T18-98D-108 NCHU A 2.5-Gb/s Clock and Data Recovery Circuit Using Oversampling and Frequency-Calibrated Techniques

T18-98D-109 NCTU A LNA with Notch filter for WLAN and WimaxT18-98D-110 NTU Design of 0.1GHz to 6 GHz Divide-by-128~135 Frequency Divider

T18-98D-111 NTUT Tunable switched-capacitor voltage mode Butterworth low pass filter based on OTA

T18-98D-112 NTHU Miniaturized Three-stage Tightly-coupled Coupler

T18-98D-113 LHU A High-performance Low-phase noise QVCO with Cascode Double Cross-couple Circuit

T18-98D-114 NTHU A 40Gb/s 2:1 multiplexer using 0.18μm CMOS technology

T18-98D-115 NTUT With Short Circuit Protection Continuous All-Digital Divider-by-2 and 3 Cycloconverter

T18-98D-116 LHU A 5.5GHz Wideband Differential Colpitts QVCOT18-98D-117 NTUT A 0.8 - 1.4 GHz multiband differential LNA

T18-98D-118 YZU Low Power Consumption Low Noise Amplifier for Wireless Sensor Network Application

T18-98D-119 YUNTECH A Low power 10GHz VCO using negative resistance promotion in current-reused configuration

T18-98D-120 NCTU 2.4-GHz Low-power tunable gain ReceiverT18-98D-121 CGU The variable width pulse generator of analog-to-digital signal conversionT18-98D-122 NCHU An Efficient Architecture of Parallel Scrambler

T18-98D-123 NCKU A 7-26 GHz MMIC doubly balanced ring diode mixer with a compact IF extraction

T18-98D-124 KUAS Apply for 0.45V Low Power Voltage X- Band Voltage Controlled Oscillator

T18-98D-125 NCKU Low Power PLL for 802.11a/g and MB-OFDM UWB Co-existence Frequency Carrier Generator Application

T18-98D-126 NCKU A Low power, High Gain LNA for K-band applicationT18-98D-127 NCKU A Fast-Hopping Frequency Synthesizer for UWB Mode-1 Application

T18-98D-128 NTU A 2.4GHz Low Power Dual Path Noise Cancelling LNA for Wireless Sensor Network Application

T18-98D-129 NTU 6Ghz PrescalerT18-98D-130 NTU Reflective-Type Phase Shifter With 360 Phase-Control RangeT18-98D-131 NCKU A 24-GHz Improved Current-Reuse 0.18-μm CMOS VCO

T18-98D-132 NCKU A 24-GHz Wide-locking-range Divide-by-5 Direct Injection-locked Frequency Divider in 0.18-μm CMOS Technology

T18-98D-133 NCKU A broadband sub-harmonic up-conversion mixer with high isolationT18-98D-134 NTU With Transmission Line Zero 60GHz Coplanar Bandpass FilterT18-98D-135 NCKU A 24-GHz Fully Integrated High-PAE 0.18-μm CMOS Power AmplifierT18-98D-136 NCKU 15 - 22 GHz Wideband CMOS Low Noise AmplifierT18-98D-137 NSYSU Low Loss Parallel combing Transformer with Impedance Ratio 1:4 DesignT18-98D-138 NTU A 16-GHz Quadrature Phase Locked Loop with Self-calibration TechniqueT18-98D-139t NCCU The testkey of octagonal folded type switchable inductorT18-98D-140 NTUST Current reused VCO of Variable Body VoltageT18-98D-141 NCCU The CMOS Wide Band Low Noise Amplifier For K Band Applications

Page 79: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

174

CIC ANNUAL REPORT 2009

T18-98D-142 FCU Uses Common Gate to design Low Noise Amplifier 3.1GHz to 10.6GHzT18-98D-143 NCHU A Noise Reduction Dual Band LNAT18-98D-144 NTHU Using 0.18μm dual-gate MOSFET design wide band balanced amplifier

T18-98D-145 CGU Single-Sideband Up-Conversion Mixers with Quadrature VCO for K-Band Applications

T18-98D-146 NTHU A high linearity Mixer using linearized transconductance IMD3 cancellation technique

T18-98D-147+m NCTU Directional array microphone by CMOS-MEMS fabrication process, including

package and MCU systemT18-98D-148 NCKU 24-GHz Low Power Wide Locking-Range Divide-by-Three Circuit DesignT18-98D-149 NCCU design the VCO for K band sensing anti-collision system applications.T18-98D-150 NCCU Using transformer design a 21-29GHz bandpass filter

T18-98D-151 NCCU A Compact, high Out-band suppression CMOS Millimeter-wave Bandpass Filter with Transmission Zeros

T18-98D-152 NCTU 24GHz Ultra-low power Voltage-Controlled Oscillator using Forward Body Bias

T18-98D-153 A 24GHz Low Noise Amplifier for Collision Avoidance Radar ApplicationT18-98D-154 NTU A Low Jitter Deskew Clock Generator for Arbitrary Wide Range DelayT18-98D-155 NTU A 5 GHz Low-Power VCOT18-98D-156 NTHU A low-power 5Gb/s TIA

T18-98D-157 NTHUA 24GHz transformer-feedback highly linear LNA using 0.18μm dual-gate

MOSFET

T18-98D-158 NTUST Chip Design of 1.2V Continuous-Time Sigma-Delta Modulator with DWA Technology

T18-98D-159 NTUST Colpitts Votage-Controlled Oscillator with Series-Tuned LC-TankT18-98D-160 NCTU 5.25GHz Low power, Fully Integrated and Current Reused VCOT18-98D-161 NCHU A ROM-less Fast Direct Digital Frequency Synthesizer RealizedT18-98D-162 NCHU 6-7.8GHz QVCOT18-98D-163 NTU Postive Feedback Techniques for CMOS LNA at Low-Voltage OperationsT18-98D-164m NCCU DC-50GHz SPST CMOS-MEMS SPDT SwitchT18-98D-165 NCYUT High-Frequency 700MHz LED PWM Driver Core with 16 Levels Capability

T18-98D-166 NTU A 24-GHz Low Noise Amplifier with Bypass-Capacitor-Integrated RF ESD Protection

T18-98D-167t NTU Low parasitic capacitance ESD deviceT18-98D-168m NCCU Design of Band Reconfigurable CMOS MEMS Bandstop FilterT18-98D-169 NTUST Wide Locking Range ILFD Divide-By-2 Using Active InductorT18-98D-170 NTUST Novel Dual Band QVCOT18-98D-171 NTU A 30-GHz Frequency Synthesizer with Digital Frequency CalibrationT18-98D-172 NCCU A OOK Transmitter for Wireless Bio-sensor System ApplicationT18-98D-173 NCTU A low phase noise 3-4GHz CMOS LC Quadrature VCOT18-98D-174 NCU A high power and high efficiency stacked paT18-98D-175 NCTU Low noise amplifier with noise cancellation for 3~6GHz applicationsT18-98D-176 FCU 24GHz transformer feedback LNAT18-98D-177 NTUST Series Injection Dual Band Divide-by-2 Divider

T18-98D-178 NTHU A High-Voltage Neuron Stimulator in 0.18μm CMOS Process for Biomedical Implantable Devices

T18-98D-179 NCYUT A Compact CMOS Pulse-Width Modulation Core with Multiple Outputs for RGB LED Dimming Control

Page 80: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

175

T18-98D-180 NCU A low power CMOS TIA with PD for 10 Gbps applicationT18-98D-181 NCTU A 10~80GHz Ultra-Wide Band MixerT18-98D-182 FCU Differential Class-E CMOS Power AmplifierT18-98D-183 NCHU A low power PLL using transformer feedback technology

T18-98D-184 NCKU Study on 57-64 GHz MMIC 2x sub-harmonic down converter mixer by using a quasi-circulator

T18-98D-185 NCU 60 GHz phase array circuit using injection locking techniqueT18-98D-186 NCU A V-band frequency trilper circuit with BPSK modulationT18-98D-187 NTUST Design of A Novel Dual Band Frequency DoublerT18-98D-188 NTUST Colpitts Voltage Control Oscillator With Double LC TankT18-98D-189+m NCTU Study of Novel MEMS Switchs Integration for RF CMOS Power Amplifier

T18-98D-190 NCKU 24 GHz Regenerative Divide-by-3 Frequency DividerT18-98D-191 NCKU 40 GHz Injection-Locked Divide-by-5 Frequency DividerT18-98D-192 NCCU A 3.5 GHz VCO with auto-amplitude-balance technique of current sourceT18-98D-193 NCCU A 0.85-mW VCO with Power-Free Amplitude-Balanced TechniqueT18-98D-194 CYCU Variable gain low noise amplifier

T18-98D-195u NTU UHF 915MHz Passive RFID Tag Circuit Conforming to EPC Class-1 Generation-2 RFID Protocol

T18-98D-196u NTU A 1Gb/s Clock and Data Recovery CircuitT18-98D-197e NCKU Design on Non-contact coupling Circuit for High-speed I/O CommunicationsT18-98D-198e NKNU A UHF RFID Tag with a FM0 encoderT18-98D-199e NKNU A UHF RFID Tag with a Manchester Decoder

T18-98D-200e NDHU A Programmable Frequency Multiplier Based on a Fast-Locking Delay-Locked Loop

T18-98D-201e NTU Double band Power Amplifier Design

T18-98D-202e NTUT A Constant-gm Rail-to-Rail CMOS Differential Operational Amplifier with Output Common-Mode Current Compensation

T18-98D-203e NTHU Analog Front-End IC for EEG/ECG/EMG Monitoring ApplicationsT18-98D-204e NTHU Analog Front End IC Design and Implementation on CMUT ArraysT18-98D-205e NCTU 20 GHz CMOS Wideband LNAT18-98D-206e NCTU 20G dual output distributed amplifier

T18-98D-207e NKFUST Improvement Two-Path Flying-Adder Synthesizer using Multiphase all-digital phase locked loop with DVC

T18-98D-208e NCTU 20GHz active power dividerT18-98D-209e NCTU 10-bits 10MS/s Pipelined Analog-to-Digital ConverterT18-98D-210e NCTU 12bits 5MS/s Successive Approximation Analog-to-Digital ConverterT18-98D-211e YZU μ-Power Rectifier

T18-98D-212e YZU A 10-bit 500-Ks/s Control Logic for Succcessive Approximation Analog-to-Digital Converters

TN90RF-98AIC number Institution Project name(English)TN90RF-98A-01 NCHU The 24GHz Frequency Synthesizer use transformer feedback

TN90RF-98A-02 NCHU A Wideband Voltage Control Oscillator for V band Applications

Page 81: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

176

CIC ANNUAL REPORT 2009

TN90RF-98A-03 YUNTECH Low power pulse-triggered based single-edge flip-flop design with pulse

width adjust techniqueTN90RF-98A-04 NTUST A Low Power Quadrature Voltage-Controlled Oscillator uses a Voltage

BoostingTN90RF-98A-05 NTHU A 1GHz sampling rate domino delay line analog digital converter

TN90RF-98A-06 NTU A 360MHz 10b Single Channel Pipelined ADC with Closed-Loop Gain Self-

CalibrationTN90RF-98A-07 NCTU 90nm Device High-frequency S-parameter Testkey

TN90RF-98A-08 NTUST A Novel Divide-by-3 Series ILFD

TN90RF-98A-09 NTU A 3.0uW 1MS/s 10b ADC

TN90RF-98A-10 NTUST A Wide Locking Range of Injection-Locked Frequency Quadrupler

TN90RF-98A-11 NCU W-band Wide Locking Range Injection Locked Divider

TN90RF-98A-12 NCU Broadband Bi-directional transceiver

TN90RF-98A-13 NTU A V-band Subharmonic Mixer Using Reduced-Size Ratrace Hybrid

TN90RF-98A-14 NCCU A Low Noise Amplifier for V-Band applications

TN90RF-98A-15 NCKU V-band wideband voltage controlled oscillator

TN90RF-98A-16 NTU High Power Amplifier for 60 GHz Applications

TN90RF-98A-17 NCU V-band phase array receiver

TN90RF-98A-18 NTHU 20GSPS 3-bit 90nm CMOS Analog-to-Digital Converter

TN90RF-98A-19 NTHU A Full Rate 10Gbps Low Power Clock Recovery Circuitry with a Novel

Rotation Frequency detector for wide frequency captureTN90RF-98A-20 NCU A 1 – 85 GHz Cascade Single Stage Distributed Amplifiers

TN90RF-98BIC number Institution Project name(English)TN90RF-98B-01 NCCU Self-Calibration Low Power Band-pass Filter for ECG Signal Detector

TN90RF-98B-02 NCU A Wide Range Self-Calibration Spread Spectrum Clock Generator

TN90RF-98B-03 NTU A V-band Variable Gain Low Noise Amplifier

TN90RF-98B-04 CGU A 10~30-GHz UWB Low Power CMOS Distributed Amplifier

TN90RF-98B-05 CGU Voltage Control Oscillator Circuit Design With Variable Inductor

Page 82: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

177

TN90RF-98B-06 NTU V band vector sum phase shifter

TN90RF-98B-07 NCU Built-in Jitter Measurement Circuit for Clock Generator

TN90RF-98B-08 NTU A 24/77 GHz Dual Band LNA In 90nmLP CMOS Process

TN90RF-98B-09 NTHU Transformer-based Distributed Amplifier

TN90RF-98B-10 NCHU A Wideband LNA for V-Band Applications

TN90RF-98B-11 NCHU A Wideband Voltage Control Oscillator for V band Applications

TN90RF-98B-12 NCKU A 60-GHz CMOS Wide-locking-range Divide-by-5 Direct Injection-locked

Frequency DividerTN90RF-98B-13 NCKU Design of 60-GHz CMOS Reflection-Type Phase Shifter with Low Insertion-

Loss VariationTN90RF-98B-14 NCCU Applied for W-Band low power wide-band Direct Injection-Locked Frequency

DividerTN90RF-98B-15t CGU Analysis influence of CMOS transistor with induced current magnetic field

TN90RF-98B-16 NTHU Low Current Sense Amplifier for Non-Volatile Memory

TN90RF-98B-17 NCHU Delay variation based 20GHz VCO

TN90RF-98B-18 NTU An Active Power Splitter for 57~64 GHz Phase Array Application

TN90RF-98B-19 NTU A Low Phase Variation Variable Gain Amplifier for 57~64 GHz Phase Array

ApplicationTN90RF-98B-20 NTU A Single-to-differential Phase Shifter for 57~64 GHz Phase Array Application

TN90RF-98B-21 NTU 60GHz Power Amplifier with modified linearizer

TN90RF-98B-22 NTU Miniaturized ring bandpass filter using shunt capacitor at 60 GHz

TN90RF-98B-23t CGU 90nm RF MOSFETs and Substrate Testkey Modeling using Self-defined

Description MethodTN90RF-98B-24 NCTU 60-GHz LNA

TN90RF-98B-25 NTHU A 6ps resolution time-to-digital converter with multipath ring oscillator

TN90RF-98B-26 NTUST DualBand ILFD using Double-Tuned Coupled Resonator

TN90RF-98B-27 NTUST A Novel Low Power VCO

TN90RF-98B-28 NCCU The Implementation of Wide-band Low Noise Amplifier

TN90RF-98B-29t NCCU The Study of Micro-strip Line

TN90RF-98B-30 NTU A 24mW 1.4GS/s 6b Dynamic Folding Flash ADC

Page 83: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

178

CIC ANNUAL REPORT 2009

TN90RF-98B-31 NTU 60GHz Power Amplifier with Built-in Linearizer

TN90RF-98B-32 NTU A V-band Full-360° CMOS Reflection-Type Phase Shifter with Low

TN90RF-98B-33 NTU 60 GHz power amplifier and power detector

TN90RF-98B-34 CGU True-Single-Phase-Clocking Logic Base Noise-Tolerant CMOS Circuit

DesignTN90RF-98B-35 CGU Design and Implementation of Cost-Effective Probabilistic-Based Noise-

Tolerant VLSI Circuits by Using Common Valid Minterm Feedback LoopsTN90RF-98B-36t NTHU Testkey of low-power and low-noise dual-gate transistor in 90nm CMOS

technologyTN90RF-98B-37 NTHU A low-power 60 GHz LNA for WirelessHD application

TN90RF-98B-38 NTU 60GHz Low Power Low Noise Amplifier with Linearizer

TN90RF-98B-39 NCHU A burst mode clock and data recovery circuit using XGPON

TN90RF-98B-40 NTU A pipelined AD converter with Loading-free technique in first two stage

TN90RF-98B-41 NTHU A Novel Rotational Frequency detector for wide range fast locking 10Gbps

Clock and Data Recovery Circuit

UN90-98AIC number Institution Project name(English)

UN90-98A-01a NTU A Multi-layer Video Coding Engine with Hybrid Scheme for Wireless Video Links

UN90-98A-02a NTU Low Power and Reconfigurable SVD Engine Design for IEEE 802.11n Standard

UN90-98A-03t NCCU An Analysis of Substrate Effects on shielding ground type of microstrip line inductors for 57GHz~79GHz CMOS MMW Application

UN90-98A-04 NTUST A 14GHz to 47GHz Dual Band Divider-By-2 ILFD

UN90-98A-05 NTUST A divide-by-2 injection-locked frequency divider with wide locking range on Ka-band application

UN90-98A-06a NTHU A High Throughput, Low Power and Small Area Fast Fourier Transform Processor for UWB Applications

UN90-98A-07 NCHU 10Gbps burst mode CDR Using Four path and 4X oversampling phase selectec technology

UN90-98A-08a NCU Design of a super high speed FHT kernal for OFDM systemsUN90-98A-09 NCTU 60-GHz Sub-harmonic Up-conversion Mixer with Passive ComponentsUN90-98A-10 NCKU A 1.3mW 100 MS/s 10bit SAR ADCUN90-98A-11 NCU An Ultra Low power consumption V Band LNA

UN90-98BIC number Institution Project name(English)UN90-98B-01a NCTU A smart DMA-based DSP Architecture for dual-core applicationUN90-98B-02a NCCU A 90nm Sub-threshold Register File

Page 84: User Profile - CIC · The HRSMD synchronizes the external clock and the internal clock in 3 clock cycles. Besides, the HRSMD can

179

UN90-98B-03 NTHU Low supply noise high output current voltage charge pump for embedded nonvolatile memory

UN90-98B-04 NCTU 10GS/s 6-bits A/D D/A Converters

UN90-98B-05a NCCU Ultra Low Voltage / High Resolution Video Decoder for Portable Media Applications

UN90-98B-06 NTHU High-yield low-power and low-leakage subthreshold 10T SRAM Cell using single wordline without read disturb

UN90-98B-07 NTHU A Sub-Vth 9T SRAMUN90-98B-08 NCHU A Wideband Voltage Control Oscillator for V band ApplicationsUN90-98B-09 NTHU Low Voltage and High Speed SRAM

UN90-98B-10 NCKU Ultra-Low Power and Wide-Range Voltage Scalable Adaptive Within-Die Variation Compensators

UN90-98B-11 CGU A 60GHz Band-pass Filter with Two Transmission Zeros

UN90-98B-12a NTU High-Throughput Reconfigurable Convolutional Turbo Decoder Design for Future 4G Wireless WAN Systems

UN90-98B-13 NTUST A wide locking range of injection-locked frequency divider based on wide tuning range

UN90-98CIC number Institution Project name(English)UN90-98C-01 NCU Low Voltage All Digital PLLUN90-98C-02a NTU Embedded Compression Engine for LCD TV System-on-a-ChipUN90-98C-03 NCTU a sub-threshold multiport register fileUN90-98C-04 NCHU A Wideband LNA for V-Band ApplicationsUN90-98C-05 NCHU A Wideband Voltage Control Oscillator for V band ApplicationsUN90-98C-06 NCKU Branch-line Coupler for 60 GHz Millimeter Wave Application

UN90-98C-07a NTHU 1Gbps Reduced-complexity Radix-16 MAP Processor for 3GPP-LTE Contention-free Turbo Decoding

UN90-98C-08 NCKU A 10-Bit 80MS/s successive approximation ADCUN90-98C-09 NTUST Wide Locking Range ILFD Using 3D InductorUN90-98C-10 NTUST A Novel Dual-Band Divide By 3 ILFD (Injection Locked Frequency Divider)UN90-98C-11 NCKU A 940-uW 100-MS/s 10-bit SAR ADCUN90-98C-12 NCTU W-band Low Noise AmplifierUN90-98C-13 NSYSU Design on 1V to 1.8V Bidirectional Mixed-Voltage-Tolerant I/O CellUN90-98C-14 NTUST Wide Tuning Range VCO Using Stacked InductorsUN90-98C-15 CGU High linearity 90 nm RFCMOS with low substrate parasitic effect layout