Topics of VLSI Technology

Embed Size (px)

Citation preview

  • 7/31/2019 Topics of VLSI Technology

    1/30

    CSCE 6933/5933

    Advanced Topics in VLSI Systems

    Instructor: Saraju P. Mohanty, Ph. D.

    1

    Lecture 3: Power Dissipation

    NOTE: The figures, text etc included in slides are borrowedfrom various books, websites, authors pages, and other

    sources for academic purpose only. The instructor does

    not claim any originality.

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    2/30

    Outline of the Talk

    Power and Energy

    Dynamic Power

    Static Power Low Power Design

    2Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    3/30

    Power Dissipation Trend

    3Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    4/30

    Power Dissipation Trend

    4

    5KW18KW1.5KW

    500W

    40048008

    80808085

    8086286386486

    Pentium proc

    0.1

    1

    10

    100

    1000

    10000

    100000

    19711974197819851992200020042008Year

    Pow

    er(Watts)

    Power delivery and dissipation will be prohibitive

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    5/30

    5

    Why Low-Power ? ..

    Power Density

    IV

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    6/30

    6

    Power Dissipation in CMOS

    Source: Weste and Harris 2005

    Power Dissipation

    Static Dissipation Dynamic Dissipation

    Sub-threshold current

    Gate Leakage

    Reverse-biased diode Leakage

    Capacitive Switching

    Short circuit

    Contention current

    Gate Leakage

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    7/30

    Leakages in CMOS

    7

    I1 : reverse bias pn junction (both ON & OFF)

    I2: subthreshold leakage (OFF )I3 : Gate Leakage current (both ON & OFF)I4 : gate current due to hot carrier injection (both ON & OFF)I5 : gate induced drain leakage (OFF)I6 : channel punch through current (OFF)

    P-Substrate

    N+

    N+

    Source Drain

    I1

    I2

    I6

    I5 Source: Roy 2003

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    8/30

    8

    Power Dissipation Redistribution

    NormalizedPowe

    rDissipation

    PhysicalGateLength(nm)

    Chronological (Year) Source: Hansen Thesis 2004

    Trajectory,

    With High-K

    Dynamic

    Chronological (Year)

    Nor

    malizedPowerDissipation

    Phy

    sicalGateL

    ength(nm)

    102

    100

    10-2

    10-4

    10-6

    300

    250

    200

    150

    100

    50

    0

    1990 1995 2000 2005 2010 2015 2020

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    9/30

    Dynamic and Static Power Sources

    9Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    10/30

    Power Dissipation in CMOS : Dynamic

    10

    Capacitance Switching Current: This flows to

    charge and discharge capacitance loads during

    logic changes.

    Short-Circuit Current: This is the current due to the

    DC path between the supply and ground during

    output transition.

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    11/30

    Power Dissipation in CMOS : Static

    11

    Subthrehold Current: Sub-threshold current thatarises from the inversion charges that exists at the

    gate voltages below the threshold voltage.

    Tunneling Current: There is a finite probability for

    carrier being pass through the gate oxide. Thisresults in tunneling current thorough the gate

    oxide.

    Reverse-biased Diode Leakage: Reverse bias

    current in the parasitic diodes. Contention Current in Ratioed Circuits: Ratioed

    circuits burn power in fight between ON transistors

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    12/30

    Power Dissipation in CMOS : Dynamic

    12

    A general CMOS

    transistor circuit

    Dynamic power is

    required to charge and

    discharge load

    capacitances when

    transistors switch.One cycle involves a

    rising and falling output.

    On rising output, charge

    Q = CLVDD is required.On falling output, charge

    is dumped to GND.

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    13/30

    Power Dissipation in CMOS : Dynamic

    13

    Note:1. the difference between the two is the loss

    2. Energy doesnt depend on frequency

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    14/30

    Power Dissipation in CMOS : Dynamic

    14

    ForNcclock cycles energy loss :

    ENc = CL Vdd2 n(Nc)

    n(Nc): is the number of 0->1 transitions in Nc clock cycles

    Note: Power depends on frequency

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    15/30

    Short Circuit Current

    When transistors switch, both NMOS and PMOS

    networks may be momentarily ON at once.

    Leads to a blip of short circuit current.

    < 10% of dynamic power if rise/fall times are

    comparable for input and output.

    15Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    16/30

    Static Power : Subthrehold Current

    In OFF state, undesired leakage current flow.

    It contributes to power dissipation of idle circuits.

    If vt is the thermal voltage and I0 is the current at

    Vth then the subthreshold current is :

    Advanced Topics in VLSI Systems16

    ( )v

    t

    Drain-Induced-Barrier-Lowering (DIBL) an

    prominent effect for short channel transistors also

    impacts subthreshold conduction by lowering Vth. It increases as the Vth decreases or Vgs increases.

    It increases as the temperature increases.

  • 7/31/2019 Topics of VLSI Technology

    17/30

    Static Power : Junction Leakage

    The pn junctions between diffusion, substrate

    and well are all junction diodes.

    These are revered biased as substrate is

    connected to GND and well connected to Vdd.

    However, reversed biased diode also conductsmall amount of current.

    17Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    18/30

    Static Power : Junction Leakage

    The reverse-biased junction current is

    expressed as follows: (D is not for drain, S is notfor source)

    ID = IS [ exp (VD/vT) 1 ]

    IS depends on the doping level, the area, andperimeter of the diffusion region.

    VD is the diode voltage e.g. Vsb or Vdb.

    18Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    19/30

    Static Power : Tunneling

    19

    There is a finiteprobability for carrier

    being pass through the

    gate oxide.

    This results in tunneling

    current thorough the gate

    oxide.

    The effect is predominate

    for lower oxide thickness.

    BSIM4 Model

    Igb

    IgcsIgcd

    Igs Igd

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    20/30

    Static Power : Tunneling

    The gate oxide leakage current can be expressedas follows [Kim2003, Chandrakasan2001] (K and are experimentally derived factors).

    Igate=K Wgate(Vdd /Tgate)2 exp ( Tgate/Vdd)

    Options for reduction of gate leakage power: Decreasing of supply voltage Vdd (will play its role)

    Increasing gate SiO2 thickness Tgate (opposed to the

    technology trend !!)

    Decreasing gate width Wgate (only linearly dependent)

    20Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    21/30

    Low-Power Design

    21Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    22/30

    22

    Why Low Power?

    EnvironmentalBattery life

    Chip and

    system

    cooling costs

    Power supply

    rail

    Packagingcosts

    Noiseand

    reliability

    Power

    affects

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    23/30

    Various forms of Power Profile

    Average Power

    Total Energy

    Energy-Delay-Product (EDP)

    Power-Delay-Product (PDP)

    Power-Square-Delay-Product (PSDP) Peak Power

    Transient Power

    Cycle Difference Power

    Peak Power Differential Cycle-to-Cycle Power Gradient (Fluctuation)

    and many more

    23Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    24/30

    Why peak power reduction ?

    To maintain supply voltage levels

    To increase reliability To use smaller heat sinks

    To make packaging cheaper

    24Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    25/30

    Why Average Power/ Energy reduction ?

    To increase battery life time

    To enhance noise margin

    To reduce energy costs

    To reduce use of natural resources

    To increase system reliability

    25Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    26/30

    Why Transience / Fluctuation

    Minimization ?

    To reduce power supply noise

    To reduce cross-talk and electromagnetic noise

    To increase battery efficiency

    To increase reliability

    26Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    27/30

    Low-power design: Key Principles

    27

    Using the lowest possible supply voltage.

    Using the smallest geometry, highest frequency

    devices, but operating them at lowest possible

    frequency.Using parallelism and pipelining to lower

    required frequency of operation.

    Power management by disconnecting the powersource when the system is idle.

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    28/30

    Voltage, Frequency and Power Trade-offs

    Reduce Supply Voltage (Vdd): delay increases;

    performance degradation Reduce Clock Frequency (f): only power saving

    no energy

    Reduce Switching Activity (N or E(sw)): noswitching no power loss !!! Not in fully underdesigners control. Switching activity depends onthe logic function. Temporal/and spatialcorrelations difficult to handle.

    Reduce Physical Capacitance: done by reducingdevice size reduces the current drive of thetransistor making the circuit slow

    28Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    29/30

    How Much is Saved ?? Varying Vdd/ f

    29

    Voltage (Vdd) Frequency (f) Power (Pd) Energy (Ed)

    Vdd fmax Pd Ed

    Vdd / 2 fmax* Pd / 4 Ed / 4

    Vdd / 2 fmax / 2 Pd / 8 Ed / 4

    Vdd fmax / 2 Pd / 2 Ed

    * Note : fmax Vs f

    Advanced Topics in VLSI Systems

  • 7/31/2019 Topics of VLSI Technology

    30/30

    Low Power Design : Static Reduction

    Reduce static power:

    Selectively use ratioed circuits.

    Selectively use low Vth devices.

    Leakage reduction:

    Stacked devices, body bias, low temperature.

    30Advanced Topics in VLSI Systems