Top PipelinedCipher Pad

Embed Size (px)

Citation preview

  • 7/24/2019 Top PipelinedCipher Pad

    1/35

    Release 11.1 - par L.33 (nt)Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.

    Mon Jun 23 21:37:43 2014

    INFO: The IO information is provided in three file formats as part of the Place

    and Route (PAR) process. These formats are:1. The _pad.txt file (this file) designed to provide information onIO usage in a human readable ASCII text format viewable through common text editors.2. The _pad.csv file for use with spreadsheet programs such as MS Excel. This file can also be read by PACE to communicate post PAR IO information.3. The .pad file designed for parsing by customers. It uses the "|" as a data field separator.

    INPUT FILE: Top_PipelinedCipher_map.ncdOUTPUT FILE: Top_PipelinedCipher_pad.txtPART TYPE: xc5vlx50

    SPEED GRADE: -2PACKAGE: ff676

    Pinout by Pin Number:

    +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+|Pin Number|Signal Name |Pin Usage|Pin Name |Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage |Constraint|IO Register|Signal Integrity|+---------------------------------------------------------------------------------------------------------------------------------------------------------------

    -----------------------------------+|A1 | | |GND | |

    | | | | | | || | |

    |A2 |cipher_text |IOB |IO_L17P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A3 |cipher_text |IOB |IO_L17N_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A4 |cipher_text |IOB |IO_L12P_VRN_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLO

    CATED |NO |NONE ||A5 |cipher_text |IOB |IO_L12N_VRP_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A6 | | |GND | |

    | | | | | | || | |

    |A7 |cipher_text |IOB |IO_L9N_CC_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A8 |cipher_text |IOB |IO_L7N_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE |

    |A9 |cipher_text |IOB |IO_L7P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    2/35

    |A10 |cipher_text |IOB |IO_L6N_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A11 | | |GND | |

    | | | | | | || | |

    |A12 |cipher_text |IOB |IO_L3N_16 |OUTPUT |LVCM

    OS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A13 |cipher_text |IOB |IO_L3P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A14 |cipher_text |IOB |IO_L1P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A15 |cipher_text |IOB |IO_L1N_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A16 | | |GND | |

    | | | | | | || | ||A17 |cipher_text |IOB |IO_L5N_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A18 |cipher_text |IOB |IO_L6P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A19 |cipher_text |IOB |IO_L6N_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A20 |cipher_text |IOB |IO_L8P_CC_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLO

    CATED |NO |NONE ||A21 | | |GND | |

    | | | | | | || | |

    |A22 |cipher_text |IOB |IO_L13N_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A23 |cipher_text |IOB |IO_L14P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A24 |cipher_text |IOB |IO_L14N_VREF_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A25 |cipher_text |IOB |IO_L17N_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||A26 | | |GND | |

    | | | | | | || | |

    |AA1 | | |GND | || | | | | | || | |

    |AA2 |cipher_key |IOB |IO_L15P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE |

    |AA3 |cipher_key |IOB |IO_L11N_CC_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    3/35

    |AA4 |cipher_key |IOB |IO_L9P_CC_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AA5 |cipher_key |IOB |IO_L9N_CC_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AA6 | | |VCCO_18 | |

    |18 | | | | |2.50 || | |

    |AA7 |cipher_key |IOB |IO_L10N_CC_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AA8 |plain_text |IOB |IO_L2N_A22_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||AA9 |plain_text |IOB |IO_L4N_VREF_FOE_B_MOSI_2|INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||AA10 |plain_text |IOB |IO_L4P_FCS_B_2 |INPUT |LVCM

    OS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||AA11 | | |GND | |

    | | | | | | || | |

    |AA12 |cipher_key |IOB |IO_L8P_D3_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||AA13 |cipher_key |IOB |IO_L9P_D1_FS1_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||AA14 |plain_text |IOB |IO_L9N_D0_FS0_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLO

    CATED |NO |NONE ||AA15 |plain_text |IOB |IO_L7P_D5_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||AA16 | | |VCCO_2 | |

    |2 | | | | |2.50 || | |

    |AA17 |plain_text |IOB |IO_L3P_A21_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||AA18 |plain_text |IOB |IO_L1P_CC_A25_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||AA19 |cipher_key |IOB |IO_L19N_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||AA20 | |IOBM |IO_L19P_17 |UNUSED |

    |17 | | | | | || | |

    |AA21 | | |GND | || | | | | | || | |

    |AA22 |plain_text |IOB |IO_L10N_CC_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE |

    |AA23 |plain_text |IOB |IO_L6P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    4/35

    |AA24 |cipher_key |IOB |IO_L6N_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||AA25 |plain_text |IOB |IO_L18N_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||AA26 | | |GND | |

    | | | | | | || | |

    |AB1 |cipher_key |IOB |IO_L16N_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||AB2 |plain_text |IOB |IO_L16P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||AB3 | | |VCCO_18 | |

    |18 | | | | |2.50 || | |

    |AB4 |cipher_key |IOB |IO_L11P_CC_18 |INPUT |LVCM

    OS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AB5 |cipher_key |IOB |IO_L14N_VREF_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AB6 |cipher_key |IOB |IO_L14P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AB7 |cipher_key |IOB |IO_L15N_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AB8 | | |GND | |

    | | | | | | |

    | | ||AB9 |plain_text |IOB |IO_L1N_GC_D12_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AB10 |clk |IOB |IO_L1P_GC_D13_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AB11 |plain_text |IOB |IO_L8N_D2_FS2_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||AB12 |cipher_key |IOB |IO_L7P_GC_VRN_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AB13 | | |VCCO_4 | |

    |4 | | | | |2.50 || | |

    |AB14 |plain_text |IOB |IO_L7N_D4_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||AB15 |cipher_key |IOB |IO_L5P_FWE_B_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||AB16 |plain_text |IOB |IO_L5N_CSO_B_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE |

    |AB17 |plain_text |IOB |IO_L3N_A20_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    5/35

    |AB18 | | |GND | || | | | | | || | |

    |AB19 | |IOBS |IO_L18N_17 |UNUSED ||17 | | | | | || | |

    |AB20 | |IOBM |IO_L18P_17 |UNUSED |

    |17 | | | | | || | |

    |AB21 |cipher_key |IOB |IO_L11N_CC_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||AB22 |cipher_key |IOB |IO_L10P_CC_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||AB23 | | |VCCO_21 | |

    |21 | | | | |any******|| | |

    |AB24 |cipher_key |IOB |IO_L9P_CC_17 |INPUT |LVCM

    OS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||AB25 |plain_text |IOB |IO_L18P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||AB26 |cipher_key |IOB |IO_L19N_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||AC1 |plain_text |IOB |IO_L17N_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||AC2 |plain_text |IOB |IO_L17P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLO

    CATED |NO |NONE ||AC3 |cipher_key |IOB |IO_L12N_VRP_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AC4 |plain_text |IOB |IO_L12P_VRN_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AC5 | | |GND | |

    | | | | | | || | |

    |AC6 |cipher_key |IOB |IO_L15P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AC7 |plain_text |IOB |IO_L5N_GC_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AC8 |cipher_key |IOB |IO_L3P_GC_D9_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AC9 |cipher_key |IOB |IO_L3N_GC_D8_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AC10 | | |VCCO_4 | |

    |4 | | | | |2.50 || | |

    |AC11 |cipher_key |IOB |IO_L7N_GC_VRP_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    6/35

    |AC12 |cipher_key |IOB |IO_L8N_CC_GC_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AC13 |cipher_key |IOB |IO_L8P_CC_GC_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AC14 |cipher_key |IOB |IO_L6N_GC_4 |INPUT |LVCM

    OS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AC15 | | |GND | |

    | | | | | | || | |

    |AC16 |plain_text |IOB |IO_L2N_GC_D10_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AC17 |plain_text |IOB |IO_L2P_GC_D11_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AC18 |plain_text |IOB |IO_L0N_GC_D14_4 |INPUT |LVCM

    OS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AC19 | |IOBS |IO_L17N_17 |UNUSED |

    |17 | | | | | || | |

    |AC20 | | |VCCO_21 | ||21 | | | | |any******|| | |

    |AC21 |cipher_key |IOB |IO_L11P_CC_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||AC22 |plain_text |IOB |IO_L8N_CC_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLO

    CATED |NO |NONE ||AC23 |cipher_key |IOB |IO_L8P_CC_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||AC24 |cipher_key |IOB |IO_L9N_CC_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||AC25 | | |GND | |

    | | | | | | || | |

    |AC26 |plain_text |IOB |IO_L19P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||AD1 |data_valid_in |IOB |IO_L18N_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||AD2 | | |GND | |

    | | | | | | || | |

    |AD3 |cipher_key |IOB |IO_L13N_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AD4 |cipher_key |IOB |IO_L13P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE |

    |AD5 |cipher_key |IOB |IO_L17N_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    7/35

    |AD6 |cipher_key |IOB |IO_L17P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AD7 | | |VCCO_18 | |

    |18 | | | | |2.50 || | |

    |AD8 |cipher_key |IOB |IO_L5P_GC_4 |INPUT |LVCM

    OS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AD9 | |IOBS |IO_L19N_21 |UNUSED |

    |21 | | | | | || | |

    |AD10 |cipher_key |IOB |IO_L9N_CC_GC_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AD11 |cipher_key |IOB |IO_L9P_CC_GC_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AD12 | | |GND | |

    | | | | | | || | ||AD13 |cipher_key |IOB |IO_L6P_GC_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AD14 |cipher_key |IOB |IO_L4N_GC_VREF_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AD15 |cipher_key |IOB |IO_L4P_GC_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AD16 | |IOBS |IO_L11N_CC_21 |UNUSED |

    |21 | | | | | |

    | | ||AD17 | | |VCCO_2 | |

    |2 | | | | |2.50 || | |

    |AD18 |reset |IOB |IO_L0P_GC_D15_4 |INPUT |LVCMOS25* |4 | | | |NONE | |UNLOCATED |NO |NONE ||AD19 |cipher_key |IOB |IO_L17P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||AD20 | |IOBM |IO_L5P_21 |UNUSED |

    |21 | | | | | || | |

    |AD21 | |IOBS |IO_L5N_21 |UNUSED ||21 | | | | | || | |

    |AD22 | | |GND | || | | | | | || | |

    |AD23 | |IOBS |IO_L0N_21 |UNUSED ||21 | | | | | || | |

    |AD24 | |IOBM |IO_L0P_21 |UNUSED ||21 | | | | | || | |

    |AD25 | |IOBS |IO_L3N_21 |UNUSED ||21 | | | | | || | |

  • 7/24/2019 Top PipelinedCipher Pad

    8/35

    |AD26 | |IOBS |IO_L1N_21 |UNUSED ||21 | | | | | || | |

    |AE1 |cipher_key |IOB |IO_L18P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||AE2 |cipher_key |IOB |IO_L19N_14 |INPUT |LVCM

    OS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||AE3 |cipher_key |IOB |IO_L16N_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AE4 | | |GND | |

    | | | | | | || | |

    |AE5 |cipher_key |IOB |IO_L19N_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AE6 |cipher_key |IOB |IO_L19P_18 |INPUT |LVCM

    OS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AE7 | |IOBS |IO_L18N_21 |UNUSED |

    |21 | | | | | || | |

    |AE8 | |IOBM |IO_L19P_21 |UNUSED ||21 | | | | | || | |

    |AE9 | | |GND | || | | | | | || | |

    |AE10 | |IOBS |IO_L16N_21 |UNUSED ||21 | | | | | |

    | | ||AE11 | |IOBS |IO_L15N_21 |UNUSED |

    |21 | | | | | || | |

    |AE12 | |IOBM |IO_L15P_21 |UNUSED ||21 | | | | | || | |

    |AE13 | |IOBS |IO_L14N_VREF_21 |UNUSED ||21 | | | | | || | |

    |AE14 | | |GND | || | | | | | || | |

    |AE15 | |IOBS |IO_L12N_VRP_21 |UNUSED ||21 | | | | | || | |

    |AE16 | |IOBM |IO_L11P_CC_21 |UNUSED ||21 | | | | | || | |

    |AE17 | |IOBS |IO_L10N_CC_21 |UNUSED ||21 | | | | | || | |

    |AE18 | |IOBS |IO_L8N_CC_21 |UNUSED ||21 | | | | | || | |

    |AE19 | | |GND | || | | | | | || | |

  • 7/24/2019 Top PipelinedCipher Pad

    9/35

    |AE20 | |IOBM |IO_L6P_21 |UNUSED ||21 | | | | | || | |

    |AE21 | |IOBS |IO_L6N_21 |UNUSED ||21 | | | | | || | |

    |AE22 | |IOBS |IO_L7N_21 |UNUSED |

    |21 | | | | | || | |

    |AE23 | |IOBS |IO_L4N_VREF_21 |UNUSED ||21 | | | | | || | |

    |AE24 | | |VCCO_21 | ||21 | | | | |any******|| | |

    |AE25 | |IOBM |IO_L3P_21 |UNUSED ||21 | | | | | || | |

    |AE26 | |IOBM |IO_L1P_21 |UNUSED |

    |21 | | | | | || | ||AF1 | | |GND | |

    | | | | | | || | |

    |AF2 |cipher_key |IOB |IO_L19P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||AF3 |cipher_key |IOB |IO_L16P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AF4 |cipher_key |IOB |IO_L18N_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLO

    CATED |NO |NONE ||AF5 |cipher_key |IOB |IO_L18P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||AF6 | | |GND | |

    | | | | | | || | |

    |AF7 | |IOBM |IO_L18P_21 |UNUSED ||21 | | | | | || | |

    |AF8 | |IOBS |IO_L17N_21 |UNUSED ||21 | | | | | || | |

    |AF9 | |IOBM |IO_L17P_21 |UNUSED ||21 | | | | | || | |

    |AF10 | |IOBM |IO_L16P_21 |UNUSED ||21 | | | | | || | |

    |AF11 | | |GND | || | | | | | || | |

    |AF12 | |IOBM |IO_L14P_21 |UNUSED ||21 | | | | | || | |

    |AF13 | |IOBS |IO_L13N_21 |UNUSED ||21 | | | | | || | |

  • 7/24/2019 Top PipelinedCipher Pad

    10/35

    |AF14 | |IOBM |IO_L13P_21 |UNUSED ||21 | | | | | || | |

    |AF15 | |IOBM |IO_L12P_VRN_21 |UNUSED ||21 | | | | | || | |

    |AF16 | | |GND | |

    | | | | | | || | |

    |AF17 | |IOBM |IO_L10P_CC_21 |UNUSED ||21 | | | | | || | |

    |AF18 | |IOBM |IO_L8P_CC_21 |UNUSED ||21 | | | | | || | |

    |AF19 | |IOBM |IO_L9P_CC_21 |UNUSED ||21 | | | | | || | |

    |AF20 | |IOBS |IO_L9N_CC_21 |UNUSED |

    |21 | | | | | || | ||AF21 | | |GND | |

    | | | | | | || | |

    |AF22 | |IOBM |IO_L7P_21 |UNUSED ||21 | | | | | || | |

    |AF23 | |IOBM |IO_L4P_21 |UNUSED ||21 | | | | | || | |

    |AF24 | |IOBM |IO_L2P_21 |UNUSED ||21 | | | | | |

    | | ||AF25 | |IOBS |IO_L2N_21 |UNUSED |

    |21 | | | | | || | |

    |AF26 | | |GND | || | | | | | || | |

    |B1 |cipher_text |IOB |IO_L19P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B2 |cipher_text |IOB |IO_L19N_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B3 | | |GND | |

    | | | | | | || | |

    |B4 |cipher_text |IOB |IO_L15N_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B5 |cipher_text |IOB |IO_L13P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B6 |cipher_text |IOB |IO_L13N_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE |

    |B7 |cipher_text |IOB |IO_L9P_CC_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    11/35

    |B8 | | |GND | || | | | | | || | |

    |B9 |cipher_text |IOB |IO_L5P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B10 |cipher_text |IOB |IO_L5N_16 |OUTPUT |LVCM

    OS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B11 |cipher_text |IOB |IO_L6P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B12 |cipher_text |IOB |IO_L2N_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B13 | | |GND | |

    | | | | | | || | |

    |B14 |cipher_text |IOB |IO_L0N_15 |OUTPUT |LVCM

    OS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B15 |cipher_text |IOB |IO_L2P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B16 |cipher_text |IOB |IO_L2N_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B17 |cipher_text |IOB |IO_L5P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B18 | | |GND | |

    | | | | | | |

    | | ||B19 |cipher_text |IOB |IO_L7P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B20 |cipher_text |IOB |IO_L8N_CC_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B21 |cipher_text |IOB |IO_L11N_CC_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B22 |cipher_text |IOB |IO_L13P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B23 | | |VCCO_15 | |

    |15 | | | | |2.50 || | |

    |B24 |cipher_text |IOB |IO_L15P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B25 |cipher_text |IOB |IO_L17P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||B26 |valid_out |IOB |IO_L18P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE |

    |C1 |cipher_text |IOB |IO_L18N_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    12/35

    |C2 |cipher_text |IOB |IO_L16P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C3 |cipher_text |IOB |IO_L16N_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C4 |cipher_text |IOB |IO_L15P_16 |OUTPUT |LVCM

    OS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C5 | | |GND | |

    | | | | | | || | |

    |C6 |cipher_text |IOB |IO_L11P_CC_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C7 |cipher_text |IOB |IO_L11N_CC_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C8 |cipher_text |IOB |IO_L8N_CC_16 |OUTPUT |LVCM

    OS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C9 |cipher_text |IOB |IO_L4P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C10 | | |VCCO_1 | |

    |1 | | | | |2.50 || | |

    |C11 |cipher_text |IOB |IO_L1P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C12 |cipher_text |IOB |IO_L1N_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLO

    CATED |NO |NONE ||C13 |cipher_text |IOB |IO_L2P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C14 |cipher_text |IOB |IO_L0P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C15 | | |GND | |

    | | | | | | || | |

    |C16 |cipher_text |IOB |IO_L3N_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C17 |cipher_text |IOB |IO_L4N_VREF_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C18 |cipher_text |IOB |IO_L7N_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C19 |cipher_text |IOB |IO_L9P_CC_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C20 | | |VCCO_15 | |

    |15 | | | | |2.50 || | |

    |C21 |cipher_text |IOB |IO_L11P_CC_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    13/35

    |C22 |cipher_text |IOB |IO_L12N_VRP_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C23 |cipher_text |IOB |IO_L15N_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C24 |cipher_text |IOB |IO_L16N_15 |OUTPUT |LVCM

    OS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||C25 | | |GND | |

    | | | | | | || | |

    |C26 |cipher_text |IOB |IO_L18N_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D1 |cipher_text |IOB |IO_L18P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D2 | | |GND | |

    | | | | | | || | ||D3 |cipher_text |IOB |IO_L14P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D4 |cipher_text |IOB |IO_L14N_VREF_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D5 |cipher_text |IOB |IO_L10P_CC_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D6 |cipher_text |IOB |IO_L10N_CC_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLO

    CATED |NO |NONE ||D7 | | |VCCO_16 | |

    |16 | | | | |2.50 || | |

    |D8 |cipher_text |IOB |IO_L8P_CC_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D9 |cipher_text |IOB |IO_L4N_VREF_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D10 |cipher_text |IOB |IO_L0N_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D11 |cipher_text |IOB |IO_L0P_16 |OUTPUT |LVCMOS25* |16 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D12 | | |GND | |

    | | | | | | || | |

    |D13 |cipher_text |IOB |IO_L1P_CC_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D14 |cipher_text |IOB |IO_L1N_CC_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE |

    |D15 |cipher_text |IOB |IO_L3P_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    14/35

    |D16 |cipher_text |IOB |IO_L3P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D17 | | |VCCO_3 | |

    |3 | | | | |2.50 || | |

    |D18 |cipher_text |IOB |IO_L4P_15 |OUTPUT |LVCM

    OS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D19 |cipher_text |IOB |IO_L9N_CC_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D20 |cipher_text |IOB |IO_L10N_CC_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D21 |cipher_text |IOB |IO_L10P_CC_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D22 | | |GND | |

    | | | | | | || | ||D23 |cipher_text |IOB |IO_L12P_VRN_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D24 |cipher_text |IOB |IO_L16P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D25 |cipher_text |IOB |IO_L19N_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLOCATED |NO |NONE ||D26 |cipher_text |IOB |IO_L19P_15 |OUTPUT |LVCMOS25* |15 |12 |SLOW | | | |UNLO

    CATED |NO |NONE ||E1 |cipher_key |IOB |IO_L0N_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||E2 |plain_text |IOB |IO_L0P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||E3 |cipher_key |IOB |IO_L1N_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||E4 | | |VCCO_16 | |

    |16 | | | | |2.50 || | |

    |E5 |cipher_text |IOB |IO_L1N_12 |OUTPUT |LVCMOS25* |12 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E6 |cipher_text |IOB |IO_L1P_12 |OUTPUT |LVCMOS25* |12 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E7 |cipher_text |IOB |IO_L0P_12 |OUTPUT |LVCMOS25* |12 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E8 |cipher_text |IOB |IO_L8N_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE |

    |E9 | | |GND | || | | | | | || | |

  • 7/24/2019 Top PipelinedCipher Pad

    15/35

    |E10 |cipher_text |IOB |IO_L4P_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E11 |cipher_text |IOB |IO_L4N_GC_VREF_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E12 |cipher_text |IOB |IO_L2P_GC_VRN_3 |OUTPUT |LVCM

    OS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E13 |cipher_text |IOB |IO_L0N_CC_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E14 | | |VCCO_3 | |

    |3 | | | | |2.50 || | |

    |E15 |cipher_text |IOB |IO_L3N_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E16 |cipher_text |IOB |IO_L5P_GC_3 |OUTPUT |LVCM

    OS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E17 |cipher_text |IOB |IO_L5N_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E18 |cipher_text |IOB |IO_L7P_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E19 | | |GND | |

    | | | | | | || | |

    |E20 |cipher_text |IOB |IO_L1N_11 |OUTPUT |LVCMOS25* |11 |12 |SLOW | | | |UNLO

    CATED |NO |NONE ||E21 |cipher_text |IOB |IO_L1P_11 |OUTPUT |LVCMOS25* |11 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E22 |cipher_text |IOB |IO_L2P_11 |OUTPUT |LVCMOS25* |11 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E23 |cipher_text |IOB |IO_L2N_11 |OUTPUT |LVCMOS25* |11 |12 |SLOW | | | |UNLOCATED |NO |NONE ||E24 | | |VCCO_15 | |

    |15 | | | | |2.50 || | |

    |E25 |plain_text |IOB |IO_L1P_SM7P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||E26 |cipher_key |IOB |IO_L1N_SM7N_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||F1 | | |GND | |

    | | | | | | || | |

    |F2 |cipher_key |IOB |IO_L3P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE |

    |F3 |cipher_key |IOB |IO_L1P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    16/35

    |F4 |cipher_text |IOB |IO_L3N_12 |OUTPUT |LVCMOS25* |12 |12 |SLOW | | | |UNLOCATED |NO |NONE ||F5 |cipher_text |IOB |IO_L3P_12 |OUTPUT |LVCMOS25* |12 |12 |SLOW | | | |UNLOCATED |NO |NONE ||F6 | | |GND | |

    | | | | | | || | |

    |F7 |cipher_text |IOB |IO_L0N_12 |OUTPUT |LVCMOS25* |12 |12 |SLOW | | | |UNLOCATED |NO |NONE ||F8 |cipher_text |IOB |IO_L8P_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||F9 |cipher_text |IOB |IO_L6P_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||F10 |cipher_text |IOB |IO_L6N_GC_3 |OUTPUT |LVCM

    OS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||F11 | | |VCCO_1 | |

    |1 | | | | |2.50 || | |

    |F12 |cipher_text |IOB |IO_L2N_GC_VRP_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||F13 |cipher_text |IOB |IO_L0N_A18_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW | | | |UNLOCATED |NO |NONE ||F14 |cipher_text |IOB |IO_L0P_CC_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLO

    CATED |NO |NONE ||F15 |cipher_key |IOB |IO_L2P_A15_D31_1 |INPUT |LVCMOS25* |1 | | | |NONE | |UNLOCATED |NO |NONE ||F16 | | |GND | |

    | | | | | | || | |

    |F17 |cipher_text |IOB |IO_L9N_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||F18 |cipher_text |IOB |IO_L9P_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||F19 |cipher_text |IOB |IO_L7N_GC_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW | | | |UNLOCATED |NO |NONE ||F20 |cipher_text |IOB |IO_L0N_11 |OUTPUT |LVCMOS25* |11 |12 |SLOW | | | |UNLOCATED |NO |NONE ||F21 | | |VCCO_11 | |

    |11 | | | | |2.50 || | |

    |F22 |cipher_text |IOB |IO_L3P_11 |OUTPUT |LVCMOS25* |11 |12 |SLOW | | | |UNLOCATED |NO |NONE |

    |F23 |cipher_text |IOB |IO_L3N_11 |OUTPUT |LVCMOS25* |11 |12 |SLOW | | | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    17/35

    |F24 |plain_text |IOB |IO_L0P_SM8P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||F25 |plain_text |IOB |IO_L0N_SM8N_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||F26 | | |GND | |

    | | | | | | || | |

    |G1 |plain_text |IOB |IO_L2P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||G2 |cipher_key |IOB |IO_L3N_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||G3 | | |GND | |

    | | | | | | || | |

    |G4 |plain_text |IOB |IO_L6N_12 |INPUT |LVCM

    OS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||G5 |plain_text |IOB |IO_L5N_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||G6 |cipher_text |IOB |IO_L2P_12 |OUTPUT |LVCMOS25* |12 |12 |SLOW | | | |UNLOCATED |NO |NONE ||G7 |cipher_text |IOB |IO_L2N_12 |OUTPUT |LVCMOS25* |12 |12 |SLOW | | | |UNLOCATED |NO |NONE ||G8 | | |VCCO_16 | |

    |16 | | | | |2.50 |

    | | ||G9 |plain_text |IOB |IO_L7N_A4_D20_1 |INPUT |LVCMOS25* |1 | | | |NONE | |UNLOCATED |NO |NONE ||G10 |cipher_key |IOB |IO_L7P_A5_D21_1 |INPUT |LVCMOS25* |1 | | | |NONE | |UNLOCATED |NO |NONE ||G11 |cipher_key |IOB |IO_L5N_A8_D24_1 |INPUT |LVCMOS25* |1 | | | |NONE | |UNLOCATED |NO |NONE ||G12 |cipher_text |IOB |IO_L3P_A13_D29_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW | | | |UNLOCATED |NO |NONE ||G13 | | |GND | |

    | | | | | | || | |

    |G14 |cipher_text |IOB |IO_L0P_A19_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW | | | |UNLOCATED |NO |NONE ||G15 |cipher_text |IOB |IO_L2N_A14_D30_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW | | | |UNLOCATED |NO |NONE ||G16 |cipher_key |IOB |IO_L4P_A11_D27_1 |INPUT |LVCMOS25* |1 | | | |NONE | |UNLOCATED |NO |NONE |

    |G17 |cipher_key |IOB |IO_L6N_A6_D22_1 |INPUT |LVCMOS25* |1 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    18/35

    |G18 | | |GND | || | | | | | || | |

    |G19 |plain_text |IOB |IO_L8P_CC_A3_D19_1 |INPUT |LVCMOS25* |1 | | | |NONE | |UNLOCATED |NO |NONE ||G20 |cipher_text |IOB |IO_L0P_11 |OUTPUT |LVCM

    OS25* |11 |12 |SLOW | | | |UNLOCATED |NO |NONE ||G21 | |IOBM |IO_L4P_11 |UNUSED |

    |11 | | | | | || | |

    |G22 |cipher_text |IOB |IO_L4N_VREF_11 |OUTPUT |LVCMOS25* |11 |12 |SLOW | | | |UNLOCATED |NO |NONE ||G23 | | |GND | |

    | | | | | | || | |

    |G24 |plain_text |IOB |IO_L3P_SM5P_13 |INPUT |LVCM

    OS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||G25 |cipher_key |IOB |IO_L3N_SM5N_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||G26 |plain_text |IOB |IO_L2P_SM6P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||H1 |cipher_key |IOB |IO_L2N_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||H2 |cipher_key |IOB |IO_L7N_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLO

    CATED |NO |NONE ||H3 |plain_text |IOB |IO_L5P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||H4 |plain_text |IOB |IO_L6P_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||H5 | | |VCCO_12 | |

    |12 | | | | |2.50 || | |

    |H6 |cipher_text |IOB |IO_L5P_12 |OUTPUT |LVCMOS25* |12 |12 |SLOW | | | |UNLOCATED |NO |NONE ||H7 |cipher_text |IOB |IO_L4N_VREF_12 |OUTPUT |LVCMOS25* |12 |12 |SLOW | | | |UNLOCATED |NO |NONE ||H8 |plain_text |IOB |IO_L9N_CC_A0_D16_1 |INPUT |LVCMOS25* |1 | | | |NONE | |UNLOCATED |NO |NONE ||H9 |cipher_key |IOB |IO_L9P_CC_A1_D17_1 |INPUT |LVCMOS25* |1 | | | |NONE | |UNLOCATED |NO |NONE ||H10 | | |GND | |

    | | | | | | || | |

    |H11 |plain_text |IOB |IO_L5P_A9_D25_1 |INPUT |LVCMOS25* |1 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    19/35

    |H12 |cipherkey_valid_in|IOB |IO_L3N_A12_D28_1 |INPUT |LVCMOS25* |1 | | | |NONE | |UNLOCATED |NO |NONE ||H13 |cipher_text |IOB |IO_L1N_A16_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW | | | |UNLOCATED |NO |NONE ||H14 |cipher_text |IOB |IO_L1P_A17_1 |OUTPUT |LVCM

    OS25* |1 |12 |SLOW | | | |UNLOCATED |NO |NONE ||H15 | | |VCCINT | |

    | | | | | |1.0 || | |

    |H16 |cipher_text |IOB |IO_L4N_VREF_A10_D26_1 |OUTPUT |LVCMOS25* |1 |12 |SLOW | | | |UNLOCATED |NO |NONE ||H17 |plain_text |IOB |IO_L6P_A7_D23_1 |INPUT |LVCMOS25* |1 | | | |NONE | |UNLOCATED |NO |NONE ||H18 |plain_text |IOB |IO_L8N_CC_A2_D18_1 |INPUT |LVCM

    OS25* |1 | | | |NONE | |UNLOCATED |NO |NONE ||H19 | |IOBS |IO_L6N_11 |UNUSED |

    |11 | | | | | || | |

    |H20 | | |GND | || | | | | | || | |

    |H21 |cipher_text |IOB |IO_L5P_11 |OUTPUT |LVCMOS25* |11 |12 |SLOW | | | |UNLOCATED |NO |NONE ||H22 |cipher_text |IOB |IO_L5N_11 |OUTPUT |LVCMOS25* |11 |12 |SLOW | | | |UNLO

    CATED |NO |NONE ||H23 |cipher_key |IOB |IO_L7P_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||H24 |plain_text |IOB |IO_L5P_SM4P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||H25 | | |VCCO_11 | |

    |11 | | | | |2.50 || | |

    |H26 |plain_text |IOB |IO_L2N_SM6N_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||J1 |cipher_key |IOB |IO_L7P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||J2 | | |VCCO_12 | |

    |12 | | | | |2.50 || | |

    |J3 |plain_text |IOB |IO_L5N_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||J4 |plain_text |IOB |IO_L7N_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE |

    |J5 |plain_text |IOB |IO_L7P_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    20/35

    |J6 |cipher_text |IOB |IO_L4P_12 |OUTPUT |LVCMOS25* |12 |12 |SLOW | | | |UNLOCATED |NO |NONE ||J7 | | |GND | |

    | | | | | | || | |

    |J8 | | |VCCAUX | |

    | | | | | |2.5 || | |

    |J9 | | |GND | || | | | | | || | |

    |J10 | | |CCLK_0 | || | | | | | || | |

    |J11 | | |INIT_B_0 | || | | | | | || | |

    |J12 | | |VCCINT | |

    | | | | | |1.0 || | ||J13 | | |GND | |

    | | | | | | || | |

    |J14 | | |VCCINT | || | | | | |1.0 || | |

    |J15 | | |GND | || | | | | | || | |

    |J16 | | |VCCINT | || | | | | |1.0 |

    | | ||J17 | | |GND | |

    | | | | | | || | |

    |J18 | | |PROGRAM_B_0 | || | | | | | || | |

    |J19 | |IOBM |IO_L6P_11 |UNUSED ||11 | | | | | || | |

    |J20 |plain_text |IOB |IO_L8N_CC_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||J21 | |IOBM |IO_L8P_CC_11 |UNUSED |

    |11 | | | | | || | |

    |J22 | | |VCCO_11 | ||11 | | | | |2.50 || | |

    |J23 |plain_text |IOB |IO_L7N_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||J24 |plain_text |IOB |IO_L5N_SM4N_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE |

    |J25 |plain_text |IOB |IO_L4P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    21/35

    |J26 |cipher_key |IOB |IO_L4N_VREF_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||K1 |cipher_key |IOB |IO_L6N_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||K2 |plain_text |IOB |IO_L4N_VREF_14 |INPUT |LVCM

    OS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||K3 |plain_text |IOB |IO_L4P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||K4 | | |GND | |

    | | | | | | || | |

    |K5 |plain_text |IOB |IO_L10P_CC_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||K6 |plain_text |IOB |IO_L8P_CC_12 |INPUT |LVCM

    OS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||K7 |plain_text |IOB |IO_L8N_CC_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||K8 | | |GND | |

    | | | | | | || | |

    |K9 | | |VCCINT | || | | | | |1.0 || | |

    |K10 | | |DONE_0 | || | | | | | |

    | | ||K11 | | |D_IN_0 | |

    | | | | | | || | |

    |K12 | | |GND | || | | | | | || | |

    |K13 | | |VCCINT | || | | | | |1.0 || | |

    |K14 | | |GND | || | | | | | || | |

    |K15 | | |VCCINT | || | | | | |1.0 || | |

    |K16 | | |GND | || | | | | | || | |

    |K17 | | |VCCAUX | || | | | | |2.5 || | |

    |K18 | | |VBATT_0 | || | | | | | || | |

    |K19 | | |GND | || | | | | | || | |

  • 7/24/2019 Top PipelinedCipher Pad

    22/35

    |K20 | |IOBS |IO_L9N_CC_11 |UNUSED ||11 | | | | | || | |

    |K21 |plain_text |IOB |IO_L9P_CC_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||K22 |plain_text |IOB |IO_L10N_CC_SM15N_11 |INPUT |LVCM

    OS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||K23 |plain_text |IOB |IO_L10P_CC_SM15P_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||K24 | | |GND | |

    | | | | | | || | |

    |K25 |cipher_key |IOB |IO_L7P_SM2P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||K26 |plain_text |IOB |IO_L7N_SM2N_13 |INPUT |LVCM

    OS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||L1 | | |GND | |

    | | | | | | || | |

    |L2 |plain_text |IOB |IO_L6P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||L3 |cipher_key |IOB |IO_L11N_CC_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||L4 |plain_text |IOB |IO_L11P_CC_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLO

    CATED |NO |NONE ||L5 |plain_text |IOB |IO_L10N_CC_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||L6 | | |VCCO_12 | |

    |12 | | | | |2.50 || | |

    |L7 |plain_text |IOB |IO_L9P_CC_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||L8 | | |VCCAUX | |

    | | | | | |2.5 || | |

    |L9 | | |GND | || | | | | | || | |

    |L10 | | |VCCINT | || | | | | |1.0 || | |

    |L11 | | |GND | || | | | | | || | |

    |L12 | | |VCCINT | || | | | | |1.0 || | |

    |L13 | | |GND | || | | | | | || | |

  • 7/24/2019 Top PipelinedCipher Pad

    23/35

    |L14 | | |VCCINT | || | | | | |1.0 || | |

    |L15 | | |GND | || | | | | | || | |

    |L16 | | |VCCINT | |

    | | | | | |1.0 || | |

    |L17 | | |GND | || | | | | | || | |

    |L18 | | |HSWAPEN_0 | || | | | | | || | |

    |L19 | |IOBS |IO_L11N_CC_SM14N_11 |UNUSED ||11 | | | | | || | |

    |L20 |cipher_key |IOB |IO_L11P_CC_SM14P_11 |INPUT |LVCM

    OS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||L21 | | |GND | |

    | | | | | | || | |

    |L22 |plain_text |IOB |IO_L12N_VRP_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||L23 |cipher_key |IOB |IO_L12P_VRN_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||L24 |plain_text |IOB |IO_L6P_SM3P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLO

    CATED |NO |NONE ||L25 |plain_text |IOB |IO_L6N_SM3N_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||L26 | | |GND | |

    | | | | | | || | |

    |M1 |cipher_key |IOB |IO_L8P_CC_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||M2 |cipher_key |IOB |IO_L9P_CC_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||M3 | | |GND | |

    | | | | | | || | |

    |M4 |plain_text |IOB |IO_L15P_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||M5 |plain_text |IOB |IO_L12P_VRN_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||M6 |plain_text |IOB |IO_L12N_VRP_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE |

    |M7 |plain_text |IOB |IO_L9N_CC_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    24/35

    |M8 | | |GND | || | | | | | || | |

    |M9 | | |VCCINT | || | | | | |1.0 || | |

    |M10 | | |GND | |

    | | | | | | || | |

    |M11 | | |VCCINT | || | | | | |1.0 || | |

    |M12 | | |GND | || | | | | | || | |

    |M13 | | |AVSS_0 | || | | | | | || | |

    |M14 | | |AVDD_0 | |

    | | | | | | || | ||M15 | | |VCCINT | |

    | | | | | |1.0 || | |

    |M16 | | |GND | || | | | | | || | |

    |M17 | | |VCCAUX | || | | | | |2.5 || | |

    |M18 | | |GND | || | | | | | |

    | | ||M19 |cipher_key |IOB |IO_L15N_SM13N_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||M20 |plain_text |IOB |IO_L15P_SM13P_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||M21 |plain_text |IOB |IO_L13N_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||M22 |plain_text |IOB |IO_L13P_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||M23 | | |VCCO_13 | |

    |13 | | | | |2.50 || | |

    |M24 |cipher_key |IOB |IO_L9P_CC_SM0P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||M25 |plain_text |IOB |IO_L8P_CC_SM1P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||M26 |plain_text |IOB |IO_L8N_CC_SM1N_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE |

    |N1 |plain_text |IOB |IO_L8N_CC_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    25/35

    |N2 |plain_text |IOB |IO_L9N_CC_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||N3 |plain_text |IOB |IO_L14N_VREF_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||N4 |cipher_key |IOB |IO_L15N_12 |INPUT |LVCM

    OS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||N5 | | |GND | |

    | | | | | | || | |

    |N6 |plain_text |IOB |IO_L13N_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||N7 |plain_text |IOB |IO_L13P_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||N8 | | |VCCAUX | |

    | | | | | |2.5 || | ||N9 | | |GND | |

    | | | | | | || | |

    |N10 | | |VCCINT | || | | | | |1.0 || | |

    |N11 | | |GND | || | | | | | || | |

    |N12 | | |VCCINT | || | | | | |1.0 |

    | | ||N13 | | |VREFN_0 | |

    | | | | | | || | |

    |N14 | |IPAD |VP_0 |UNUSED ||0 | | | | | || | |

    |N15 | | |GND | || | | | | | || | |

    |N16 | | |VCCINT | || | | | | |1.0 || | |

    |N17 | | |GND | || | | | | | || | |

    |N18 | | |CS_B_0 | || | | | | | || | |

    |N19 |cipher_key |IOB |IO_L14N_VREF_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||N20 | | |VCCO_13 | |

    |13 | | | | |2.50 || | |

    |N21 |cipher_key |IOB |IO_L17N_SM11N_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    26/35

    |N22 |cipher_key |IOB |IO_L17P_SM11P_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||N23 |cipher_key |IOB |IO_L16N_SM12N_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||N24 |plain_text |IOB |IO_L9N_CC_SM0N_13 |INPUT |LVCM

    OS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||N25 | | |GND | |

    | | | | | | || | |

    |N26 |plain_text |IOB |IO_L10N_CC_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||P1 |plain_text |IOB |IO_L10P_CC_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||P2 | | |GND | |

    | | | | | | || | ||P3 |cipher_key |IOB |IO_L14P_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||P4 |cipher_key |IOB |IO_L16N_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||P5 |plain_text |IOB |IO_L16P_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||P6 |cipher_key |IOB |IO_L19P_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLO

    CATED |NO |NONE ||P7 | | |GND | |

    | | | | | | || | |

    |P8 | | |GND | || | | | | | || | |

    |P9 | | |VCCINT | || | | | | |1.0 || | |

    |P10 | | |GND | || | | | | | || | |

    |P11 | | |VCCINT | || | | | | |1.0 || | |

    |P12 | | |GND | || | | | | | || | |

    |P13 | |IPAD |VN_0 |UNUSED ||0 | | | | | || | |

    |P14 | | |VREFP_0 | || | | | | | || | |

    |P15 | | |VCCINT | || | | | | |1.0 || | |

  • 7/24/2019 Top PipelinedCipher Pad

    27/35

    |P16 | | |GND | || | | | | | || | |

    |P17 | | |VCCAUX | || | | | | |2.5 || | |

    |P18 | | |RDWR_B_0 | |

    | | | | | | || | |

    |P19 |cipher_key |IOB |IO_L14P_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||P20 |plain_text |IOB |IO_L19N_SM9N_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||P21 |plain_text |IOB |IO_L19P_SM9P_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||P22 | | |GND | |

    | | | | | | || | ||P23 |cipher_key |IOB |IO_L16P_SM12P_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||P24 |plain_text |IOB |IO_L11N_CC_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||P25 |plain_text |IOB |IO_L11P_CC_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||P26 |plain_text |IOB |IO_L10P_CC_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLO

    CATED |NO |NONE ||R1 |plain_text |IOB |IO_L10N_CC_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||R2 |plain_text |IOB |IO_L11N_CC_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||R3 |plain_text |IOB |IO_L17P_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||R4 | | |VCCO_14 | |

    |14 | | | | |2.50 || | |

    |R5 |cipher_key |IOB |IO_L18N_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||R6 |plain_text |IOB |IO_L18P_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||R7 |cipher_key |IOB |IO_L19N_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE ||R8 | | |VCCAUX | |

    | | | | | |2.5 || | |

    |R9 | | |GND | || | | | | | || | |

  • 7/24/2019 Top PipelinedCipher Pad

    28/35

    |R10 | | |VCCINT | || | | | | |1.0 || | |

    |R11 | | |GND | || | | | | | || | |

    |R12 | | |VCCINT | |

    | | | | | |1.0 || | |

    |R13 | | |DXN_0 | || | | | | | || | |

    |R14 | | |DXP_0 | || | | | | | || | |

    |R15 | | |GND | || | | | | | || | |

    |R16 | | |VCCINT | |

    | | | | | |1.0 || | ||R17 | | |GND | |

    | | | | | | || | |

    |R18 | | |RSVD_0 | || | | | | | || | |

    |R19 | | |GND | || | | | | | || | |

    |R20 |cipher_key |IOB |IO_L1N_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLO

    CATED |NO |NONE ||R21 |cipher_key |IOB |IO_L1P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||R22 |plain_text |IOB |IO_L18P_SM10P_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||R23 |cipher_key |IOB |IO_L18N_SM10N_11 |INPUT |LVCMOS25* |11 | | | |NONE | |UNLOCATED |NO |NONE ||R24 | | |VCCO_13 | |

    |13 | | | | |2.50 || | |

    |R25 |plain_text |IOB |IO_L12P_VRN_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||R26 |cipher_key |IOB |IO_L12N_VRP_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||T1 | | |GND | |

    | | | | | | || | |

    |T2 |cipher_key |IOB |IO_L11P_CC_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE |

    |T3 |plain_text |IOB |IO_L17N_12 |INPUT |LVCMOS25* |12 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    29/35

    |T4 |plain_text |IOB |IO_L1N_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||T5 |plain_text |IOB |IO_L1P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||T6 | | |GND | |

    | | | | | | || | |

    |T7 |cipher_key |IOB |IO_L2P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||T8 | | |GND | |

    | | | | | | || | |

    |T9 | | |VCCINT | || | | | | |1.0 || | |

    |T10 | | |GND | |

    | | | | | | || | ||T11 | | |VCCINT | |

    | | | | | |1.0 || | |

    |T12 | | |GND | || | | | | | || | |

    |T13 | | |VCCINT | || | | | | |1.0 || | |

    |T14 | | |GND | || | | | | | |

    | | ||T15 | | |VCCINT | |

    | | | | | |1.0 || | |

    |T16 | | |GND | || | | | | | || | |

    |T17 | | |VCCAUX | || | | | | |2.5 || | |

    |T18 | | |RSVD_0 | || | | | | | || | |

    |T19 |cipher_key |IOB |IO_L2N_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||T20 |cipher_key |IOB |IO_L2P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||T21 | | |VCCO_17 | |

    |17 | | | | |2.50 || | |

    |T22 |cipher_key |IOB |IO_L0N_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE |

    |T23 |cipher_key |IOB |IO_L0P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    30/35

    |T24 |plain_text |IOB |IO_L13P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||T25 |plain_text |IOB |IO_L13N_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||T26 | | |GND | |

    | | | | | | || | |

    |U1 |plain_text |IOB |IO_L12N_VRP_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||U2 |plain_text |IOB |IO_L12P_VRN_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||U3 | | |GND | |

    | | | | | | || | |

    |U4 |plain_text |IOB |IO_L0N_18 |INPUT |LVCM

    OS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||U5 |cipher_key |IOB |IO_L3P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||U6 |cipher_key |IOB |IO_L3N_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||U7 |plain_text |IOB |IO_L2N_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||U8 | | |VCCAUX | |

    | | | | | |2.5 |

    | | ||U9 | | |GND | |

    | | | | | | || | |

    |U10 | | |VCCINT | || | | | | |1.0 || | |

    |U11 | | |TCK_0 | || | | | | | || | |

    |U12 | | |VCCINT | || | | | | |1.0 || | |

    |U13 | | |GND | || | | | | | || | |

    |U14 | | |VCCINT | || | | | | |1.0 || | |

    |U15 | | |GND | || | | | | | || | |

    |U16 | | |VCCINT | || | | | | |1.0 || | |

    |U17 | | |GND | || | | | | | || | |

  • 7/24/2019 Top PipelinedCipher Pad

    31/35

    |U18 | | |GND | || | | | | | || | |

    |U19 |plain_text |IOB |IO_L14P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||U20 |plain_text |IOB |IO_L14N_VREF_17 |INPUT |LVCM

    OS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||U21 |cipher_key |IOB |IO_L3N_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||U22 |cipher_key |IOB |IO_L3P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||U23 | | |GND | |

    | | | | | | || | |

    |U24 |plain_text |IOB |IO_L15P_13 |INPUT |LVCM

    OS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||U25 |plain_text |IOB |IO_L15N_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||U26 |plain_text |IOB |IO_L14N_VREF_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||V1 |plain_text |IOB |IO_L13N_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||V2 |cipher_key |IOB |IO_L13P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLO

    CATED |NO |NONE ||V3 |plain_text |IOB |IO_L0P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||V4 |cipher_key |IOB |IO_L4P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||V5 | | |VCCO_14 | |

    |14 | | | | |2.50 || | |

    |V6 |cipher_key |IOB |IO_L6P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||V7 |cipher_key |IOB |IO_L6N_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||V8 | | |GND | |

    | | | | | | || | |

    |V9 | | |VCCINT | || | | | | |1.0 || | |

    |V10 | | |GND | || | | | | | || | |

    |V11 | | |TDI_0 | || | | | | | || | |

  • 7/24/2019 Top PipelinedCipher Pad

    32/35

    |V12 | | |TMS_0 | || | | | | | || | |

    |V13 | | |VCCINT | || | | | | |1.0 || | |

    |V14 | | |GND | |

    | | | | | | || | |

    |V15 | | |VCCINT | || | | | | |1.0 || | |

    |V16 | | |GND | || | | | | | || | |

    |V17 | | |VCCAUX | || | | | | |2.5 || | |

    |V18 | | |M2_0 | |

    | | | | | | || | ||V19 |plain_text |IOB |IO_L15P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||V20 | | |GND | |

    | | | | | | || | |

    |V21 |cipher_key |IOB |IO_L12P_VRN_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||V22 |cipher_key |IOB |IO_L12N_VRP_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLO

    CATED |NO |NONE ||V23 |plain_text |IOB |IO_L5N_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||V24 |cipher_key |IOB |IO_L5P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||V25 | | |VCCO_17 | |

    |17 | | | | |2.50 || | |

    |V26 |cipher_key |IOB |IO_L14P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||W1 |cipher_key |IOB |IO_L14N_VREF_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||W2 | | |VCCO_14 | |

    |14 | | | | |2.50 || | |

    |W3 |cipher_key |IOB |IO_L5P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||W4 |cipher_key |IOB |IO_L4N_VREF_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE |

    |W5 |cipher_key |IOB |IO_L7P_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    33/35

    |W6 |cipher_key |IOB |IO_L7N_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||W7 | | |GND | |

    | | | | | | || | |

    |W8 | | |VCCAUX | |

    | | | | | |2.5 || | |

    |W9 | | |GND | || | | | | | || | |

    |W10 | | |TDO_0 | || | | | | | || | |

    |W11 | | |D_OUT_BUSY_0 | || | | | | | || | |

    |W12 | | |VCCO_0 | |

    |0 | | | | |any******|| | ||W13 | | |GND | |

    | | | | | | || | |

    |W14 | | |VCCINT | || | | | | |1.0 || | |

    |W15 | | |GND | || | | | | | || | |

    |W16 | | |VCCAUX | || | | | | |2.5 |

    | | ||W17 | | |GND | |

    | | | | | | || | |

    |W18 | | |M0_0 | || | | | | | || | |

    |W19 |plain_text |IOB |IO_L15N_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||W20 |cipher_key |IOB |IO_L13N_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||W21 |plain_text |IOB |IO_L13P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||W22 | | |VCCO_17 | |

    |17 | | | | |2.50 || | |

    |W23 |plain_text |IOB |IO_L4N_VREF_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||W24 |plain_text |IOB |IO_L4P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE |

    |W25 |plain_text |IOB |IO_L16P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE |

  • 7/24/2019 Top PipelinedCipher Pad

    34/35

    |W26 |plain_text |IOB |IO_L16N_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||Y1 |plain_text |IOB |IO_L14P_14 |INPUT |LVCMOS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||Y2 |plain_text |IOB |IO_L15N_14 |INPUT |LVCM

    OS25* |14 | | | |NONE | |UNLOCATED |NO |NONE ||Y3 |cipher_key |IOB |IO_L5N_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||Y4 | | |GND | |

    | | | | | | || | |

    |Y5 |cipher_key |IOB |IO_L8N_CC_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||Y6 |cipher_key |IOB |IO_L8P_CC_18 |INPUT |LVCM

    OS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||Y7 |cipher_key |IOB |IO_L10P_CC_18 |INPUT |LVCMOS25* |18 | | | |NONE | |UNLOCATED |NO |NONE ||Y8 |plain_text |IOB |IO_L2P_A23_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||Y9 | | |VCCO_0 | |

    |0 | | | | |any******|| | |

    |Y10 |plain_text |IOB |IO_L0P_CC_RS1_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLO

    CATED |NO |NONE ||Y11 |cipher_key |IOB |IO_L0N_CC_RS0_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||Y12 |plain_text |IOB |IO_L6P_D7_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||Y13 |cipher_key |IOB |IO_L6N_D6_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE ||Y14 | | |GND | |

    | | | | | | || | |

    |Y15 | | |VCCINT | || | | | | |1.0 || | |

    |Y16 | | |GND | || | | | | | || | |

    |Y17 | | |M1_0 | || | | | | | || | |

    |Y18 |plain_text |IOB |IO_L1N_CC_A24_2 |INPUT |LVCMOS25* |2 | | | |NONE | |UNLOCATED |NO |NONE |

    |Y19 | | |GND | || | | | | | || | |

  • 7/24/2019 Top PipelinedCipher Pad

    35/35

    |Y20 | |IOBS |IO_L16N_17 |UNUSED ||17 | | | | | || | |

    |Y21 |cipher_key |IOB |IO_L16P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||Y22 |cipher_key |IOB |IO_L7N_17 |INPUT |LVCM

    OS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||Y23 |cipher_key |IOB |IO_L7P_17 |INPUT |LVCMOS25* |17 | | | |NONE | |UNLOCATED |NO |NONE ||Y24 | | |GND | |

    | | | | | | || | |

    |Y25 |cipher_key |IOB |IO_L17P_13 |INPUT |LVCMOS25* |13 | | | |NONE | |UNLOCATED |NO |NONE ||Y26 |plain_text |IOB |IO_L17N_13 |INPUT |LVCM

    OS25* |13 | | | |NONE | |UNLOCATED |NO |NONE |+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+

    * Default value.** This default Pullup/Pulldown value can be overridden in Bitgen.All RSVD_0 pins must be tied to GROUND.****** Special VCCO requirements may apply. Please consult the device

    family datasheet for specific guideline on VCCO requirements.